文档库 最新最全的文档下载
当前位置:文档库 › 数电课程设计报告新编

数电课程设计报告新编

数电课程设计报告新编
数电课程设计报告新编

数电课程设计报告新编 IMB standardization office【IMB 5AB- IMBK 08- IMB 2C】

《基于FPGA的洗衣机电机正反转控制器》学院:信息与控制工程学院

专业:电子信息工程

班级:

姓名:

学号:

2014年7月

目录

1.设计任务与要求 (1)

2.设计思路 (1)

3.设计原理及方案 (2)

4.总结与讨论 (14)

一、设计任务及要求:

1.控制洗衣机的电机作如下周期性运转,正转4S――暂停2S――反转4S――暂停2S,用8位七段数码管显示自己学号的后四位(显示在从左边数第一个到第四个数码管上)、定时时间(两位,单位:分钟,显示在第五个和第六个数码管上),剩余时间(两位,单位:分钟,显示在第七个和第八个数码管上

2.洗衣机控制器的工作过程为:

(1)上电后显示自己学号的后四位,在运行中不变;初始洗涤时间为10分钟,在开始前可用S1和S2按键设置总的工作时间,确定洗衣机控制器定时工作时间。(按下并松开S1定时时间增加一分钟,按下并松开S2定时时间减少一分钟,时间范围为:00~30分钟)

(2)设定好定时时间后,按下并松开S3(按下时S3=0,松开时S3=1),启动控制器,整个系统开始运行;再次按下并松开S3,停止运行;再次按下并松开S3继续运行;按下并松开S4则回到上电初始状态。其他两个按键不起作用。到达定时时间后,停止运行,按下并松开S4则回到初始状态,在运行中要显示定时时间和剩余工作时间,当剩余时间为0时,要显示“End”。在工作过程中用三个LED指示灯指示电机工作状态,正转D1灯亮,反转D2灯亮,暂停D3灯亮,

如此反复直至工作时间为0停止(三个LED灯都不亮)。

系统总体框图如下:

二、设计思路

为了便于计时,首先把1000Hz的外部时钟分频为1Hz。正转时间设为4s,反转设为4s,暂停设为2s,令洗衣机按照正转4s、暂停2s、反转4s、暂停2s的顺序进行旋转,周期恰好为10秒,而定时时间单位为分钟,因此需要设计分钟计数器和秒计数器。开发板提供的时钟信号CP频率为1000Hz,应该设计一分频器得到1Hz的时钟信号作为时间计数脉冲。

设计中七段数码管采用动态扫描的方式显示,扫描需要一个比较高频率的信号,本次设计选用1000HZ。为了得到1000Hz信号,必须对输入的时钟信号

50MHZ进行分频。显示模块共用10个管脚,其中7个用于连接8个数码管的七段LED,还有3个管脚用于选择点亮哪个数码管,每隔很短的一段时间8个数码管交替点亮,依次循环,动态显示,由于人眼的视觉残留,可以观察到连续的测量计数器的计数值。其原理框图如图所示:

本系统采用层次化、模块化的设计方法,设计顺序为自下向上。首先实现系统框图中的各子模块,然后由顶层模块调用各子模块(既可以采用原理图,也可以采用Verilog HDL语言)来完成整个系统。

三、设计原理及方案

1.洗衣机点击正反转控制器的设计由由分频模块,模八计数器模块,s1s2s3s4控制电路模块,32位信号产生模块,数据选择器模块,数码管显示模块组成。FPGA接收命令,控制洗衣机的正转、反转、停机和定时时间为0时显示End的工作状态。对FPGA芯片的编程采用模块化的Verilog HDL (硬件描述语言)进行设计。顶层使用原理图实现,底层由Verilog HDL语句实现。

(1)总体框图

(2)功能实现

2.分频器50MHz到1KHz

本模块实现对50MHz到1KHz的分频,1KHz的信号为模八计数器提供时钟信号。

(1)源程序

module lrfenpinqi50M(clk_50M,clk_1000);

input clk_50M;

output clk_1000;

reg clk_1000;

reg [15:0]cnt;

always@(posedge clk_50M)

begin

if(cnt==16'd24999)

begin

clk_1000<=~clk_1000;

cnt<=0;

end

else

cnt<=cnt+1;

end

endmoduleendmodule

(2)元器件

(3)功能仿真

3.分频器1KHz到1Hz

本模块实现对1KHz到1Hz的分频,1KHz的信号为s1s2s3s4控制电路提供时钟信号。

(1)源程序

module lrfenpinqi1000(clk_1000,clk_1);

input clk_1000;

output clk_1;

reg clk_1;

reg [15:0]cnt;

always@(posedge clk_1000)

begin

if(cnt==16'd499)

begin

clk_1<=~clk_1;

cnt<=0;

end

else

cnt<=cnt+1;

end

endmodule

(2)元器件

(3)功能仿真

4.模八计数器

本模块实现显示数码管的动态扫描。如果采用静态显示,则需要56根线实现,动态则只需要10根。其输出还作为数据选择器的SEL端输入。

(1)源程序

module lrjishuqi(cp,q);

input cp;

output q;

reg [2:0]q;

always@(posedge cp)

begin

if(q==3'd7)

q<=0;

else if(q==0||q>0)

q<=q+1;

end

endmodule

(2)元器件

(3)功能仿真

控制电路

本模块实现时间加减,开始和复位的功能并且通过本模块来控制D1,D2,D3三个灯的循环产生,本模块还输出8位信号作为下一模块设置时间和剩余时间的输入。

(1)源程序

module s1s2s3s4(clk,s1,s2,s3,s4,m_settime,m_left,d1,d2,d3); input clk;

input s1,s2,s3,s4;

output [4:0]m_left;

output [4:0]m_settime;

output d1,d2,d3;

reg [5:0]s_out;

reg [4:0]m_out;

reg [4:0]m_left;

reg [4:0]m_up;

reg [4:0]m_down;

reg [4:0]m_settime;

reg str;

reg d1,d2,d3;

reg [3:0]i;

reg stop;

always@(posedge clk or negedge s4)

begin

if(!s4)

begin

s_out<=0;

m_out<=0;

i<=0;

d1<=0;

d2<=0;

d3<=0;

stop<=0;

end

else if(m_left==0)

begin

i<=14;

d1<=0;

d2<=0;

d3<=0;

stop<=1;

end

else if(str&&!stop)

begin

if(i<4&&i>=0)

begin

i<=i+1;

d1<=1;

d3<=0;

end

if(i<6&&i>=4)

begin

i<=i+1;

d1<=0;

d3<=1;

end

if(i<10&&i>=6)

begin

i<=i+1;

d3<=0;

d2<=1;

end

if(i==10)

begin

i<=i+1;

d2<=0;

d3<=1;

end

if(i==11)

i<=0;

if(i>12)

d1<=0;

if(s_out!=6'd59)

s_out<=s_out+1;

else

begin

s_out<=0;

m_out<=m_out+1;

end

end

end

always@(posedge s1 or negedge s4) begin

if(!s4)

m_up<=0;

else if(!str)

m_up<=m_up+5'd1;

end

always@(posedge s2 or negedge s4) begin

if(!s4)

m_down<=0;

else if(!str)

m_down<=m_down-5'd1;

end

always@(posedge s3 or negedge s4)

begin

if(!s4)

str<=0;

else if(str==1)

str<=0;

else if(str==0)

str<=1;

end

always@( s1 or s2 or s4)

begin

m_settime<=5'd10+m_up+m_down;

end

always@(clk or s3 or s4)

begin

m_left=m_settime-m_out;

end

endmodule

(2)元器件

(3)功能仿真

5. 32位信号产生电路

本模块长生32位信号实现显示学号和设置时间、剩余时间的功能。

(1)源程序

module xinhao32(settime,lefttime,s1,s2,s3,s4,s5,s6,s7,s8); output [3:0]s1,s2,s3,s4,s5,s6,s7,s8;

input [4:0]lefttime,settime;

reg [3:0]s1,s2,s3,s4,s5,s6,s7,s8;

always@(settime or lefttime)begin

s1=4'h2;

s2=4'h2;

s3=4'h0;

s4=4'h3;

if(lefttime==0)begin

s5=4'hd;

s6=4'ha;

s7=4'hb;

s8=4'hc;end

else

begin

s5=settime/10;

s6=settime%10;

s7=lefttime/10;

s8=lefttime%10;

end

end

endmodule

(2)元器件

(3)功能仿真

6.数据选择器

通过本模块将四个八位信号分别选到译码器的输入端。(1)源程序

module lrshujuxuanze(in0,in1,in2,in3,in4,in5,in6,in7,sel,out); input[3:0]in0,in1,in2,in3,in4,in5,in6,in7;

input[2:0]sel;

output[3:0]out;

reg[3:0]out;

always@( in0 or in1 or in2 or in3 or in4 or in5 or in6 or in7 or sel) begin

case(sel)

3'd0:out=in0;

3'd1:out=in1;

3'd2:out=in2;

3'd3:out=in3;

3'd4:out=in4;

3'd5:out=in5;

3'd6:out=in6;

3'd7:out=in7;

endcase

end

endmodule

(2)元器件

(3)功能实现

7.译码电路

本模块将数据选择器的4位输出信号译码为7位信号作为数码管的显示。

(1)源程序

module lryimaqi(decode_out,decode_in);

output[6:0] decode_out;

input[3:0] decode_in;

reg[6:0] decode_out;

always @(decode_in)

begin

case(decode_in)

4'h0:decode_out=7'b1111110;

4'h1:decode_out=7'b0110000;

4'h2:decode_out=7'b1101101;

4'h3:decode_out=7'b1111001;

4'h4:decode_out=7'b0110011;

4'h5:decode_out=7'b1011011;

4'h6:decode_out=7'b1011111;

4'h7:decode_out=7'b1110000;

4'h8:decode_out=7'b1111111;

4'h9:decode_out=7'b1111011;

4'ha:decode_out=7'b1001111;

4'hb:decode_out=7'b0010101;

4'hc:decode_out=7'b0111101;

4'hd:decode_out=7'b0000000;

endcase

end

endmodule

(2)元器件

(3)功能仿真

四、总结与讨论

本次课程设计设计了基于EPGA的洗衣机电机正反转控制器。在课程开始之前,要提前预习,掌握设计的总体思路。由于没有好好预习,所以在第一天花费很长时间了解设计任务、设计要求及思考总体思路。本次设计要根据系统采取层次化、模块化的设计方法,设计顺序自下而上。首先实现系统框图中的各子模块,然后由顶层设计调用各子模块来完成整个系统。在显示管的管脚分配的时候由于基础知识掌握不牢固,将管脚分配弄反,得到了镜像的数字显示。第二次验证程序的时候,数字可以正常显示,但D1,D2,D3三个灯均不亮,检查了很多遍才发现自己的错误。最后,顺利完成了本次设计并且实现了题目要求的功能。本次设计,学到了很多东西:

①在经过了几天的设计仿真,学到的东西,主要是对数字电路的基础理论知识的复习巩固和对FPGA方面知识的掌握,更加清楚了解到了Verilog HDL语言的

魅力,对以前学的理论知识通过实践来检验,更深入的理解了理论联系实际的重要性。

②要有坚持不懈的耐心和毅力,程序实现不可能一次成功,可能会出现很多错误,所以要持之以恒。

③要先把握事物大概,然后详细了解其各个组成部分。本次设计中采用层次化。模块化的设计方法,设计顺序自上而下。首先实现系统框图中的各子模块,然后由顶层设计调用各子模块来完成整个系统。

数电自动打铃器课程设计

数字电子技术课程设计题目自动打铃器 姓名:___ XXXXXX ___ 所在学院:工学院 所学专业:_ 电气工程及其自动化 班级___ 电气工程XXXX 学号___ XXXXXXXXXXXXX 指导教师:_____ XXXXXX_ ___ 完成时间:____ 2XXXXXXXXX

数电课程设计任务书 一、基本情况 学时:40学时学分:1学分适应班级: 二、进度安排 本设计共安排1周,合计40学时,具体分配如下: 实习动员及准备工作:2学时 总体方案设计:4学时 查阅资料,讨论设计:24学时 撰写设计报告:8学时 总结:2学时 教师辅导:随时 三、基本要求 1、课程设计的基本要求 数字电子技术课程设计是在学习完数字电子课程之后,按照课程教学要求,对学生进行综合性训练的一个实践教学环节。主要是培养学生综合运用理论知识的能力,分析问题和解决问题的能力,以及根据实际要求进行独立设计的能力。初步掌握数字电子线路的安装、布线、焊接、调试等基本技能;熟练掌握电子电路基本元器件的使用方法,训练、提高读图能力;掌握组装调试方法。其中理论设计包括总体方案选择,具体电路设计,选择元器件及计算参数等,课程设计的最后要求是写出设计总结报告,把设计内容进行全面的总结,若有实践条件,把实践内容上升到理论高度。 2、课程设计的教学要求 数字电子技术课程设计的教学采用相对集中的方式进行,以班为单位全班学生集中到设计室进行。做到实训教学课堂化,严格考勤制度,在实训期间累计旷课达到6节以上,或者迟到、早退累计达到8次以上的学生,该课程考核按不及格处理。在实训期间需要外出查找资料,必须在指定的时间内方可外出。 课程设计的任务相对分散,每3名学生组成一个小组,完成一个课题的设计。小组成员既有分工、又要协作,同一小组的成员之间可以相互探讨、协商,可以互相借鉴或参考别人的设计方法和经验。但每个学生必须单独完成设计任务,要有完整的设计资料,独立撰写设计报告,设计报告雷同率超过50%的课程设计考核按不及格处理。

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

数电课程设计心得

数电课程设计是培养学生综合运用所学知识,发现,提出,分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程.回顾起此次课程设计,至今我仍感慨颇多,的确,从选题到定稿,从理论到实践,在短短的两个星期的日子里,可以说得是苦多于甜,但是可以学到很多很多的的东西,同时不仅可以巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次数电课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做数电课程设计,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。 这次数电课程设计终于顺利完成了,在设计中遇到了很多问题,最后在王老师的辛勤指导下,终于游逆而解。同时,在王老师的身上我学得到很多实用的知识。总体来说,这次实习我受益匪浅.在摸索该如何设计程序使之实现所需功能的过程中,特别有趣,培养了我的设计思维,增加了实际操作能力.在让我体会到了设计的艰辛的同时,更让我体会到成功的喜悦和快乐. 这次数电课程设计,虽然短暂但是让我得到多方面的提高:1、提高了我们的逻辑思维能力,使我们在逻辑电路的分析与设计上有了很大的进步。加深了我们对组合逻辑电路与时序逻辑电路的认识,进一步增进了对一些常见逻辑器件的了解。另外,我们还更加充分的认识到,数字电路这门课程在科学发展中的至关重要性2,查阅参考书的独立思考的能力以及培养非常重要,我们在设计电路时,遇到很多不理解的东西,有的我们通过查阅参考书弄明白,有的通过网络查到,但由于时间和资料有限我们更多的还是独立思考。3,相互讨论共同研究也是很重要的,经常出现一些问题,比如电路设计中的分频器的设计,开始并不理解分频器的原理,但是和其他的专业同学讨论后,理解了分频器的基本原理后,很快的设计了电路原理图。

数电课设报告1

通过20进制计数器的输出端的E、D信号控制移位寄存器的S0和S1及其CLR'端真值表

七、附录 555的内部结构 555定时器电路是一块介于模与数字电路的一种混合电路,由于这种特殊的地位,故5 55定时电路在报警电路、控制电路得到了广泛的应用。下图为555的内部电路,从图上可以看出,其仅有两个比较器、一个触发器、一个倒相器、放电管和几个电阻构成,由于比较器电路是一个模拟器,而触发器电路为数字电路,故其为混合器件。 555为一8脚封装的器件,其各引脚的名称和作用如下: 1脚—GND,接地脚 2脚—TL,低电平触发端 3脚—Q,电路的输出端 4脚—/R D,复位端,低电平有效 5脚—V_C,电压控制端 6脚—TH,阈值输入端 7脚—DIS,放电端 8脚—V CC,电源电压端,其电压范围为:3~18V 555的功能描述

上图中当V_C不外接电压时,三个电阻对电源电压进行分压,每个电阻上的压降为1/3 V CC,则两个比较器的同相端的输出电压分别为:1/3CC,2/3V CC。从图上可以看出,其555的工作可分为下列3种情况加以讨论: 1.当触发输入端TL输入电压低于1/3V CC而阈值输入端电压大于2/3V CC时,其下面比较器输出为高电平,触发器输出高电平; 2.当触发输入端TL输入电压高于1/3V CC,而阈值输入端电压小于2/3V CC时,其两个比较器输出皆为低电平,触发器输出保持不变; 3.当触发输入端TL输入电压高于1/3V CC而阈值输入端电压大于2/3V CC时,其上面比较器输出为高电平,触发器输出低电平。 当然你在上面讨论时可同时对放电管进行讨论其状态,这里没有讨论,详情可能见有关资料,从上面的讨论,可列出下列表格: 输入输出 TH TL/RD Q放电管状态 ××00导通>2/3V CC>1/3V CC10导通 <2/3V CC>1/3V CC1保持不变保持不变 >2/3V CC>1/3V CC10导通 <2/3V CC<1/3V CC11截止 一、芯片名称:同步可预置带清零二进制计数器 二、74LS163芯片的引脚图和引脚说明:

数字电子技术课程设计报告

一、设计任务及要求 通过对《数字电子技术》课程的学习,让同学掌握《数字电子技术》课程的基本理论以及方法,加深学生对理论知识的理解,同时积极有效的提高了学生的动手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。为了充分体现这些精神和能力,所以让同学独立自主的制造一个数字时钟,故,对同学设计的数字时钟进行如下要求: 时钟显示功能,能够以十进制显示“时”,“分”,“秒”。 二、设计的作用、目的 (1).在同学掌握《数字电子技术》课程的基本理论以及方法的基础上,加深学生对理论知识的理解,同时积极有效的提高了学生的动

手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。 (2).掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 (3). 熟悉集成电路的引脚安排,掌握各芯片的逻辑功能及使用方法了解面包板结构及其接线方法,了解数字钟的组成及工作原理,熟悉数字钟的设计与制作。 (4). 掌握数字钟的设计、调试方法。 三、设计过程 1.方案设计与论证 数字钟的逻辑结构主要包括有六十进制计数器、二十四进制计数器(其中包括六十进制计数器和二十四进制计数器均由十进制计数器74LS160接成)、动态显示译码器、LED数码管显示环节、555定时器(可以提供一个比较精确的1Hz的时钟脉冲),时间设置环节可以提供时间的初始设置,动态显示译码器提供将BCD代码(即8421码)译成数码显示管所需要的驱动信号,使LED数码管用十进制数字显示出BCD代码所表示的数值。 数字钟电路系统的组成框图:

数电课程设计-温度计实验报告(提交版)

一、设计项目名称 温度采集显示系统硬件与软件设计 二、设计内容及要求 1,根据设计要求,完成对单路温度进行测量,并用数码管显示当前温度值系统硬件设计,并用电子CAD软件绘制出原理图,编辑、绘制出PCB印制版。 要求: (1)原理图中元件电气图形符号符合国家标准; (2)整体布局合理,注标规范、明确、美观,不产生歧义。 (3)列出完整的元件清单(标号、型号及大小、封装形式、数量) (4) 图纸幅面为A4。 (4)布局、布线规范合理,满足电磁兼容性要求。 (5)在元件面的丝印层上,给出标号、型号或大小。所有注释信息(包括标号、型号及说明性文字)要规范、明确,不产生歧义。 2.编写并调试驱动程序。 功能要求: (1)温度范围0-100℃。 (2)温度分辨率±1℃。 (3)选择合适的温度传感器。 3.撰写设计报告。 提示:可借助“单片机实验电路板”实现或验证软件、硬件系统的可靠性。 温度传感器 摘要:温度的检测与控制是工业生产过程中比较典型的应用之一,随着传感器在生产和生活中的更加广泛的应用,利用新型单总线式数字温度传感器 实现对温度的测试与控制得到更快的开发,随着时代的进步和发展,单 片机技术已经普及到我们生活,工作,科研,各个领域。一种数字式温 度计以数字温度传感器DS18B20作感温元件,它以单总线的连接方式, 使电路大大的简化。传统的温度检测大多以热敏电阻为传感器,这类传 感器可靠性差,测量温度准确率低且电路复杂。因此,本温度计摆脱了 传统的温度测量方法,利用单片机STC89C52对传感器进行控制。这样

易于智能化控制。 关键词:数字测温;温度传感器DS18B20;单片机STC89C52; 一.概述 传感器从功能上可分为雷达传感器、电阻式传感器、电阻应变式传感器、压阻式传感器、热电阻传感器、温度传感器、光敏传感器、湿度传感器、生物传感器、位移传感器、压力传感器、超声波测距离传感器等,本文所研究的是温度传感器。 温度传感器是最早开发,应用最广泛的一类传感器。温度传感器是利用物质各种物理性质随温度变化的规律把温度转换为电量的传感器。这些呈现规律性变化的物理性质主要有半导体。温度传感器是温度测量仪表的核心部分,品种繁多。 随着科学技术的发展,测温系统已经被广泛应用于社会生产、生活的各个领域,在工业、环境监测、医疗、家庭多方面均有应用。从而使得现代温度传感器的发展。微型化、集成化、数字化正成为发展的一个重要方向。 二.硬件设计 1.DS18B20 DS1820 单线数字温度计特性 ? 独特的单线接口仅需一个端口引脚进行通讯 ? 简单的多点分布应用 ? 无需外部器件 ? 可通过数据线供电 ? 零待机功耗 ? 测温范围-55~+125℃,以 0.5℃递增 ? 温度以 9 位数字量读出 ? 温度数字量转换时间 200ms (典型值) ? 用户可定义的非易失性温度报警设置 ? 报警搜索命令识别并标志超过程序限定温度(温度报警条件)的器件 ? 应用包括温度控制、工业系统、消费品、温度计或任何热感测系统 DS1820温度传感器外观图(a )和引脚图(b ) ①引脚1接地 ②引脚2数字信号输入/输出 ③引脚3接高电平5V 高电平

模拟电路课程设计心得体会

模拟电路课程设计心得 体会 内部编号:(YUUT-TBBY-MMUT-URRUY-UOOY-DBUYI-0128)

精选范文:《模拟电路》课程设计心得体会(共2篇)本学期我们开设了《模拟电路》与《数字电路》课,这两门学科都属于电子电路范畴,与我们的专业也都有联系,且都是理论方面的指示。正所谓“纸上谈兵终觉浅,觉知此事要躬行。”学习任何知识,仅从理论上去求知,而不去实践、探索是不够的,所以在本学期暨模电、数电刚学完之际,紧接着来一次电子电路课程设计是很及时、很必要的。这样不仅能加深我们对电子电路的任职,而且还及时、真正的做到了学以致用。这两周的课程设计,先不说其他,就天气而言,确实很艰苦。受副热带高气压影响,江南大部这两周都被高温笼罩着。人在高温下的反应是很迟钝的,简言之,就是很难静坐下来动脑子做事。天气本身炎热,加之机房里又没有电扇、空调,故在上机仿真时,真是艰熬,坐下来才一会会,就全身湿透,但是炎炎烈日挡不住我们求知、探索的欲望。通过我们不懈的努力与切实追求,终于做完了课程设计。在这次课程设计过程中,我也遇到了很多问题。比如在三角波、方波转换成正弦波时,我就弄了很长时间,先是远离不清晰,这直接导致了我无法很顺利地连接电路,然后翻阅了大量书籍,查资料,终于在书中查到了有关章节,并参考,并设计出了三角波、方波转换成正弦波的电路图。但在设计数字频率计时就不是那么一帆风顺了。我同样是查阅资料,虽找到了原理框图,但电路图却始终设计不出来,最后实在没办法,只能用数字是中来代替。在此,我深表遗憾!这次课程设计让我学到了很多,不仅是巩固了先前学的模电、数电的理论知识,而且也培养了我的动手能力,更令我的创造性思维得到拓展。希望今后类似这样课程设计、类似这样的锻炼机会能更多些!

数电实验-实验报告-实验六

实验一 TTL与非门的参数测试 一、实验目的 ·掌握用基本逻辑门电路进行组合逻辑电路的设计方法。 ·通过实验,验证设计的正确性。 二、实验原理 1.组合逻辑电路的分析: 所谓组合逻辑电路分析,即通过分析电路,说明电路的逻辑。 通常采用的分析方法是从电路的输入到输出,根据逻辑符号的功能逐级列出逻辑函数表达式,最好得到表示输出与输入之间的关系的逻辑函数式。然后利用卡诺图或公式化简法将得到的函数化简或变换,是逻辑关系简单明了。为了使电路的逻辑功能更加直观,有时还可以把逻辑函数式转化为真值表的形式。 2.逻辑组合电路的设计: 根据给出的实际逻辑问题,求出实现这一逻辑功能的最简单电路,陈伟组合逻辑电路的设计。 3.SSI设计:设计步骤如下: ①逻辑抽象;分析时间的因果关系,确定输入和输出变量。 ②定义逻辑状态的含义:以二值逻辑0、1表示两种状态。 ③列出真值表 ④写出逻辑表达式,并进行化简,根据选定器件进行转换。 ⑤画出逻辑电路的连接图。 ⑥实验仿真,结果验证。 三、实验仪器及器件 数字万用表1台

多功能电路实验箱1台 四、实验内容 1.设计5421BCD 码转换为8421BCD 码(用双输入端与非门实现)。 四位自然二进制码 5421BCD码 B3 B2 B1 B0 D3 D2 D1 D0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 0 1 1 0 0 1 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 1 0 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 1 1 1 0 1 0 伪码 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 根据5421BCD 码与8421BCD 码真值表可得 2.设A 、B 、C 、D 代表四位二进制变量,函数X=8A-4B+2C+D ,试设计一个组合逻辑电路,判断当函数值介于4

数电脉搏计数器电路课程设计

烟台南山学院 数字电子技术课程设计题目脉搏计数电路设计 姓名:___ XXXXXX ___ 所在学院:_工学院电气与电子工程系 所学专业:_ 自动化 班级:___电气工程XXXX 学号:___XXXXXXXXXXXXXX 指导教师:_____ XXXXXXXX ___ 完成时间:____ XXXXXXXXXXXXX

数电课程设计任务书 一、基本情况 学时:40学时学分:1学分适应班级:12电气工程 二、进度安排 本设计共安排1周,合计40学时,具体分配如下: 实习动员及准备工作:2学时 总体方案设计:4学时 查阅资料,讨论设计:24学时 撰写设计报告:8学时 总结:2学时 教师辅导:随时 三、基本要求 1、课程设计的基本要求 数字电子技术课程设计是在学习完数字电子课程之后,按照课程教学要求,对学生进行综合性训练的一个实践教学环节。主要是培养学生综合运用理论知识的能力,分析问题和解决问题的能力,以及根据实际要求进行独立设计的能力。初步掌握数字电子线路的安装、布线、焊接、调试等基本技能;熟练掌握电子电路基本元器件的使用方法,训练、提高读图能力;掌握组装调试方法。其中理论设计包括总体方案选择,具体电路设计,选择元器件及计算参数等,课程设计的最后要求是写出设计总结报告,把设计内容进行全面的总结,若有实践条件,把实践内容上升到理论高度。 2、课程设计的教学要求 数字电子技术课程设计的教学采用相对集中的方式进行,以班为单位全班学生集中到设计室进行。做到实训教学课堂化,严格考勤制度,在实训期间累计旷课达到6节以上,或者迟到、早退累计达到8次以上的学生,该课程考核按不及格处理。在实训期间需要外出查找资料,必须在指定的时间内方可外出。 课程设计的任务相对分散,每3名学生组成一个小组,完成一个课题的设计。小组成员既有分工、又要协作,同一小组的成员之间可以相互探讨、协商,可以互相借鉴或参考别人

数电课程设计心得体会_1

数电课程设计心得体会 课程设计刚开始,拿着选定的题目不知如何入手。毕竟课程设计不同于实验课,电路图和程序都要自己设计。静下心来,仔细分析题目,再加上指导老师的说明与提示和同组成员的帮助,心中才有了谱。将整个系统根据不同的功能化分成模块,再分别进行设计,逐个攻破,最后再将其整合即可。 用vhdl进行设计,首先应该理解,vhdl语言是一种全方位硬件描述语言,包括系统行为级,寄存器传输级和逻辑门级多个设计层次。应充分利用vhdl“自顶向下”的设计优点以及层次化的设计概念,层次概念对于设计复杂的数字系统是非常有用的,它使得我们可以从简单的单元入手,逐渐构成庞大而复杂的系统。通过使用eda编程既方便有快捷的实现了程序本次设计的程序已经在硬件系统上得到了验证,实验表明,此设计方法能够满足多种不同花样彩灯的变化要求,并且该方法便于扩展不同变化模式的彩灯花样。但是试验中也出现了一些不熟练的操作问题和一些复杂程序的不能完全理解都需要我在平时多学习,进一步的完善自己。在实习中经常会遇到一些自己可能暂时无法想明白的问题,请教同学或老师是很好的做法,节省时间也会从别人上上学到更多。在设计时和同学相互交流各自的想法也是很重要的,不同的人对问题的看法总有差异,我们可以从交流中获得不

同的思路,其他人的设计一定有比你出色的地方,很好的借鉴,并在大家的商讨中选择最优方案最终一定会得到最好的设计方法。电子技术课程设计是配合电子技术基础课程与实验教学的一个非常重要的教学环节。它不但能巩固我们已所学的电子技术的理论知识,而且能提高我们的电子电路的设计水平,还能加强我们综合分析问题和解决问题的能力,进一步培养我们的实验技能和动手能力,启发我们的创新意识几创新思维。 整个课程设计过程我都认真地完成了,对此,我总结了以下几点: 第一,两人一组,既加强了我们的动手能力,又让我们学会了团结一致,共同合作才能研究出最好的方案。我们将理论联系实际,在交流中取得进步,从问题中提高自己。 第二,本次课程设计加深了我对eda技术的进一步深入理解。熟悉了vhdl程序编写和原理图输入法的优缺点,为我以后更好地运用max+plusii奠定了良好的基础。 第三,通过这次课程设计,使我受益颇多。了解到课程实习设计是开端,连接是关键,测试是必须。既巩固了课堂上学到的理论知识,又掌握了常用集成电路芯片的使用。在此基础上学习了数字系统设计的基本思想和方法,学会了科学地分析实际问题,通过查资料、分析资料及请教老师和同学等多种途径,独立解决问题。同时,也培养了我认真严谨

数电课程设计报告数字钟的设计

数电课程设计报告数字钟的设计

数电课程设计报告 第一章设计背景与要求 设计要求 第二章系统概述 2.1设计思想与方案选择 2.2各功能块的组成 2.3工作原理 第三章单元电路设计与分析 3.1各单元电路的选择 3.2设计及工作原理分析 第四章电路的组构与调试 4.1遇到的主要问题 4.2现象记录及原因分析 4.3解决措施及效果 4.4功能的测试方法,步骤,记录的数据 第五章结束语 5.1对设计题目的结论性意见及进一步改进的意向说明5.2总结设计的收获与体会 附图(电路总图及各个模块详图) 参考文献

第一章设计背景与要求 一.设计背景与要求 在公共场所,例如车站、码头,准确的时间显得特别重要,否则很有可能给外出办事即旅行袋来麻烦。数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确度和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。数字钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。 设计一个简易数字钟,具有整点报时和校时功能。 (1)以四位LED数码管显示时、分,时为二十四进制。 (2)时、分显示数字之间以小数点间隔,小数点以1Hz频率、50%占空比的亮、灭规律表示秒计时。 (3)整点报时采用蜂鸣器实现。每当整点前控制蜂鸣器以低频鸣响4次,响1s、停1s,直到整点前一秒以高频响1s,整点时结束。 (4)才用两个按键分别控制“校时”或“校分”。按下校时键时,是显示值以0~23循环变化;按下“校分”键时,分显示值以0~59循环变化,但时显示值不能变化。 二.设计要求 电子技术是一门实践性很强的课程,加强工程训练,特别是技能的培养,对于培养学生的素质和能力具有十分重要的作用。在电子信息类本科教学中,课程设计是一个重要的实践环节,它包括选

数电课程设计报告新编

数电课程设计报告新编 IMB standardization office【IMB 5AB- IMBK 08- IMB 2C】

《基于FPGA的洗衣机电机正反转控制器》学院:信息与控制工程学院 专业:电子信息工程 班级: 姓名: 学号: 2014年7月

目录 1.设计任务与要求 (1) 2.设计思路 (1) 3.设计原理及方案 (2) 4.总结与讨论 (14)

一、设计任务及要求: 1.控制洗衣机的电机作如下周期性运转,正转4S――暂停2S――反转4S――暂停2S,用8位七段数码管显示自己学号的后四位(显示在从左边数第一个到第四个数码管上)、定时时间(两位,单位:分钟,显示在第五个和第六个数码管上),剩余时间(两位,单位:分钟,显示在第七个和第八个数码管上 2.洗衣机控制器的工作过程为: (1)上电后显示自己学号的后四位,在运行中不变;初始洗涤时间为10分钟,在开始前可用S1和S2按键设置总的工作时间,确定洗衣机控制器定时工作时间。(按下并松开S1定时时间增加一分钟,按下并松开S2定时时间减少一分钟,时间范围为:00~30分钟) (2)设定好定时时间后,按下并松开S3(按下时S3=0,松开时S3=1),启动控制器,整个系统开始运行;再次按下并松开S3,停止运行;再次按下并松开S3继续运行;按下并松开S4则回到上电初始状态。其他两个按键不起作用。到达定时时间后,停止运行,按下并松开S4则回到初始状态,在运行中要显示定时时间和剩余工作时间,当剩余时间为0时,要显示“End”。在工作过程中用三个LED指示灯指示电机工作状态,正转D1灯亮,反转D2灯亮,暂停D3灯亮, 如此反复直至工作时间为0停止(三个LED灯都不亮)。 系统总体框图如下: 二、设计思路 为了便于计时,首先把1000Hz的外部时钟分频为1Hz。正转时间设为4s,反转设为4s,暂停设为2s,令洗衣机按照正转4s、暂停2s、反转4s、暂停2s的顺序进行旋转,周期恰好为10秒,而定时时间单位为分钟,因此需要设计分钟计数器和秒计数器。开发板提供的时钟信号CP频率为1000Hz,应该设计一分频器得到1Hz的时钟信号作为时间计数脉冲。

数字电子技术实验心得

数字电子技术实验心得 这学期学了数字电子技术实验,让我了解到了更多知识,加深了对数字电子技术的理解。这是一门理论与实践密切相关的学科,能让我们自己去验证一下书上的理论,自己去设计,这有利于培养我们的实际设计能力和动手能力。 通过数字电子技术实验, 我们不仅仅是做了几个实验,不仅要学会实验技术,更应当掌握实验方法,即用实验检验理论的方法,寻求物理量之间相互关系的方法,寻求最佳方案的方法等等,掌握这些方法比做了几个实验更为重要。 在做实验前,一定要将课本上的知识吃透,因为这是做实验的基础,否则,在老师讲解时就会听不懂,这将使你在做实验时的难度加大,浪费做实验的宝贵时间.做实验时,一定要亲力亲为,务必要将每个步骤,每个细节弄清楚,弄明白,实验后,还要复习,思考,这样,你的印象才深刻,记得才牢固,否则,过后不久你就会忘得一干二净,这还不如不做.做实验时,老师还会根据自己的亲身体会,将一些课本上没有的知识教给我们,拓宽我们的眼界,使我们认识到这门课程在生活中的应用是那么的广泛. 我也学习到一些经验: 1、如果发现了实验中问题所在,此时,我们应该静下心来,冷静地分析问题的所在,有可能存在哪一环节,比如实验原理不正确,或是实验电路需要修正等等,只有这样我们的能力才能有所提高。不要盲目的把导线全部拆掉,然后又重新连接一遍,这样不但浪费时间,而且也无法达到锻炼我们动手动脑能力的目的。 2、在实验过程中,我们也要学会分工协作,不能一味的我行我素或是自己一点也不参与其中。 3、在实验过程中,要互相学习,学习优秀同学的方法和长处,同时也要学会虚心向指导老师请教,当然这要建立在自己独立思考过的基础上。 在实验的过程中我们要培养自己的独立分析问题,和解决问题的能力。培养这种能力的前题是你对每次实验的态度。数字电子技术实验,有利于掌握知识体系与学习方法,有利于激发我们学习的主动性,增强自信心,有利于培养我们的创新钻研的能力,有利于书本知识技能的巩固和迁移。我们认为,在这学期的实

数字电子技术课程设计

数字电子技术课程设计报告 指导老师:XXX 班级:XXX 学号:XXX 姓名:XXX 浙江理工大学本科课程设计任务书

1产品简介 红外线心率计就就是通过红外线传感器检测出手指中动脉血管的微弱波动,由计数器计算出每分钟波动的次数。但手指中的毛细血管的波动就是很微弱的,因此需要一个高放大倍数且低噪声的放大器,这就是红外线心率计的设计关键所在。通过本产品的制作,可以使学生掌握常用模拟、数字集成电路(运算放大器、非门、555定时器、计数器、译码器等)的应用。 2 红外线心率计工作原理 2、1 红外线心率计的原理框图 整机电路由-10V电源变换电路、血液波动检测电路、放大整形滤波电路、3位计数器电路、门控电路、译码驱动显示电路组成,如图1所示。 2、2 单元电路的工作原理 ⑴负电源变换电路 为简化实验的步骤,实验中直接用+12V、与-10V的电源代替负电源变换电路。 ⑵血液波动检测电路 实验中采用信号源发生器直接产生正弦波代替原来的血液波动检测电路。 ⑶放大、整形、滤波电路 放大、整形、滤波电路就是把传感起检测到的微弱电信号进行放大、整形、滤波,最后输出反映心跳频率的方波,如图5所示。其中LM741为高精度单运放电路,它们的引脚功能如图3 (b)所示。IC2、IC3、IC4都为LM741。

图5 信号放大、整形电路 因为传感器送来的信号幅度只有2~5毫伏,要放大到10V 左右才能作为计数器的输入脉冲。因此放大倍数设计在4000倍左右。两级放大器都接成反相比例放大器的电路,经过两级放大、反相后的波形就是跟输入波形同相、且放大了的波形。放大后的波形就是一个交流信号。其中A 1、A 2的供电方式就是正负电源供电,电源为+12V 、-10V 。 A 1、A 2与周围元件组成二级放大电路,放大倍数A uf 为: 40006666R R R R A 6 8 34uf ≈?=?= 由于放大后的波形就是一个交流信号,而计数器需要的就是单方向的直流脉冲信号。所以经过V 3检波后变成单方向的直流脉冲信号,并把检波后的信号送到RC 两阶滤波电路,滤波电路的作用就是滤除放大后的干扰信号。R 9、V 4组成传感器工作指示电路,当传感器接收到心跳信号时,V 4就会按心跳的强度而改变亮度,因此V 4正常工作时就是按心跳的频率闪烁。直流脉冲信号滤波后送入A 3的同相输入端,反相输入端接一个固定的电平,A 3就是作为一个电压比较器来工作的,就是单电源供电。当A 3的3脚电压高于2脚电压的时候,6脚输出高电平;当A 3的3脚电压低于2脚电压的时候,6脚输出低电平,所以A 3输出一个反应心跳频率的方波信号。 ⑷ 门控电路 555定时器就是一种将模拟电路与数字电路集成于一体的电子器件,用它可以构成单稳态触发器、多谐振荡器与施密特触发器等多种电路。555定时器在工业控制、定时、检测、报警等方面有广泛应用。 555定时器内部电路及其电路功能如图6(a)、(b)所示。555内部电路由基本RS 触发器FF 、比较器COMP 1、COMP 2与场效应管V1组成(参见图6(a))。当555内部的COMP 1反相输入 端(-)的输入信号V R 小于其同相输入端(+)的比较电压V CO (DD 3 2V V co =)时,COMP 1输出高电位,置触发器FF 为低电平,即Q=0;当COMP 2同相输入端(+)的输入信号S V 大于其反相输入端(-)的比较电压V CO /2(1/3V DD )时,COMP 2输出高电位,置触发器FF 为高电平,即Q=1。D R 就是直接复位端,0R D =,Q=0;MOS 管V 1就是单稳态等定时电路时,供定时电容C 对地放电作用。 注意:电压V CO 可以外部提供,故称外加控制电压,也可以使用内部分压器产生的电压,这时COMP 2的比较电压为V DD /3,不用时常接0、01μF 电容到地以防干扰。

数电课程设计

一、数字电子钟 1.设计目得 (1)培养数字电路得设计能力。 (2)掌握数字电子钟得设计、组装与调试方法。 2.设计内容及要求 (1)设计一个数字电子钟电路。要求: ①按24小时制直接显示“时”、“分”、“秒”。 ②当电路发生走时误差时具有校时功能。 ③具有整点报时功能,报时音响为4低1高,即在59分51秒、53秒、55秒、57秒输出500Hz信号,在59分59秒时输出1000 Hz信号,音响持续时间为1秒,最后一响结束时刻正好为整点。 (2)用中小规模集成电路组成电子钟,并在实验仪上进行组装、调试。 (3)画出各单元电路图、整机逻辑框图与逻辑电路图,写出设计、实验总结报告。 (4)选作部分:①闹钟系统。②日历系统。 3.数字电子钟基本原理及设计方法 数字电子钟得逻辑框图如图1411所示。它由振荡器、分频器、计数器、译码器、显示器、校时电路与整点报时电路组成。振荡器产生得脉冲信号经过分频器作为秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器显示时间。有得数字电子钟还加有定时响铃、日历显示等其它功能,需增加相应得辅助电路。 图1411 数字电子钟得基本逻辑框图 (1)振荡分频电路 振荡器就是数字电子钟内部用来产生时间标准“秒”信号得电路。构成振荡器得电路很多,图1412(a)就是RC环形多谐振荡器,其振荡周期T≈2、2RC。作为时钟,最主要得就是走时准确,这就要求振荡器得频率稳定。要得到频率稳定得信号,需要采用石英晶体振荡器。石英晶体振荡器电路如图1412(b)所示,这种电路得振荡频率只取决于石英晶体本身得固有频率。 图1412 振荡器

(a)RC环形多谐振荡器 (b)石英晶体多谐振荡器 由于石英晶体振荡器产生得频率很高,要得到秒信号,需采用分频电路。例如,振荡器输出4 MHz信号,先经过4分频变成1 MHz,再经过6次10分频计数器,便可得到1Hz得方波信号作为秒脉冲。 (2)计数器 把秒脉冲信号送入秒计数器个位得CP输入端,经过6级计数器,分别得到“秒”个位、十位,“分”个位、十位,以及“时”个位、十位得计时。“秒”、“分”计数器为60进制,“时”计数器为24进制。 24进制计数器如图1413所示。当“时”个位计数器输入端CP来到第10个触发脉冲时,该计数器归零,进位端Q D5向“时”十位计数器输出进位信号。当第24个“时”脉冲(来自“分”计数器输出得进位信号)到来时,十位计数器得状态为0010,个位计数器得状态位0100,此时“时”十位计数器得Q B6与“时”个位计数器得Q C5输出为1。两者相与后送到两计数器得清零端R0A与R0B,通过74LS90内部得R0A与R0B与非后清零,完成24进制计数。同理可构成60进制计数器。 CP 来自分计数器 的进位信号 图1413 24进制计数器 (3)译码显示电路 译码驱动器采用8421 BCD码七段译码驱动器74LS48,显示器采用共阴极数七段数码显示器,有关74LS48与七段显示器得使用方法前面已经作了介绍,这里不再赘述。 (4)校时电路 当数字电子钟出现走时误差时,需要对时间进行校准。实现校时电路得方法很多,如图1414所示电路即可作为时计数器或分计数器得校时电路。 图1414 校时电路 现设用该电路作为分计数器得校时电路,图中采用RS触发器作为无抖动开关。通过开关K得接入位置,可以选择就是将“1 Hz信号”还就是将“来自秒计数器得进位信号”送至分计数器得CP端。当开关K置于B端时,RS触发器得输出、,“来自秒计数器得进位信号”被送至分计数器得CP端,分计数器正常工作;需要校正分计数器时,将开关K置于A端,这时RS触发器得输出、,“1 Hz信号”被送至分计数器得CP端,分计数器在“1Hz信号”得作用下快速计数,直至正确得时间,再将开关K置于B端,达到了校准时间得目得。 (5)整点报时电路 电路得设计要求在差10 s为整点时开始每隔1 s鸣叫一次,每次持续时间为1 s,共鸣叫5次,前4次为低音500 Hz,最后一次为高音1 kHz。因为分计数器与秒计数器从59分51秒计数到59分59秒得过程中,只有秒个位计数器计数,分十位、分个位、秒十位计数器得状态不变,分别为Q D4Q C4Q B4Q A4=0101,Q D3Q C3Q B3Q A3=1001,Q D2Q C2Q B2Q A2=0101,所以Q C4=Q A4=Q D3=Q A3=Q C2=Q A2=1不变。设Y1=Q C4Q A4Q D3Q A3Q C2Q A2,又因为在51、53、55、57秒时Q A1=1,Q D1=0,输出500Hz信号f2;59秒时Q A1=1,Q D1=1,输出1kHz信号f1,由此可写出整点报时电路得逻辑表达式为:

通用版课程设计心得体会

通用版课程设计心得体会 通过此次课程设计,使我更加扎实的掌握了有关xxx方面的知识,在设计过程中虽然遇到了一些问题,但经过一次又一次的思考,一遍又一遍的检查终于找出了原因所在,也暴露出了前期我在这方面的知识欠缺和经验不足。实践出真知,通过亲自动手制作,使我们掌握的知识不再是纸上谈兵。 过而能改,善莫大焉。在课程设计过程中,我们不断发现错误,不断改正,不断领悟,不断获取。最终的检测调试环节,本身就是在践行“过而能改,善莫大焉”的知行观。这次课程设计终于顺利完成了,在设计中遇到了很多问题,最后在老师的指导下,终于游逆而解。在今后社会的发展和学习实践过程中,一定要不懈努力,不能遇到问题就想到要退缩,一定要不厌其烦的发现问题所在,然后一一进行解决,只有这样,才能成功的做成想做的事,才能在今后的道路上劈荆斩棘,而不是知难而退,那样永远不可能收获成功,收获喜悦,也永远不可能得到社会及他人对你的认可! 课程设计诚然是一门专业课,给我很多专业知识以及专业技能上的提升,同时又是一门讲道课,一门辩思课,给了我许多道,给了我很多思,给了我莫大的空间。同时,设计让我感触很深。使我对抽象的理论有了具体的认识。通过这次课程设计,我掌握了xxx原理。 我认为,在这学期的实验中,不仅培养了独立思考、动

手操作的能力,在各种其它能力上也都有了提高。更重要的是,在实验课上,我们学会了很多学习的方法。而这是日后最实用的,真的是受益匪浅。要面对社会的挑战,只有不断的学习、实践,再学习、再实践。这对于我们的将来也有很大的帮助。以后,不管有多苦,我想我们都能变苦为乐,找寻有趣的事情,发现其中珍贵的事情。就像中国提倡的艰苦奋斗一样,我们都可以在实验结束之后变的更加成熟,会面对需要面对的事情。 回顾起此课程设计,至今我仍感慨颇多,从理论到实践,在这段日子里,可以说得是苦多于甜,但是可以学到很多很多的东西,同时不仅可以巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,但可喜的是最终都得到了解决。 实验过程中,也对团队精神的进行了考察,让我们在合作起来更加默契,在成功后一起体会喜悦的心情。果然是团结就是力量,只有互相之间默契融洽的配合才能换来最终完美的结果。 此次设计也让我明白了思路即出路,有什么不懂不明白

数字电路课程设计总结报告

数字电路课程设计总结报告题目:交通灯控制器 班级:08通信工程1班 学号:0810618125 姓名:廖小梅 指导老师:张红燕 日期:2010年12月

目录 1、设计背景 2、设计任务书 3、设计框图及总体描述 4、各单元设计电路设计方案与原理说明 5、测试过程及结果分析 6、设计、安装、调试中的体会 7、对本次课程设计的意见及建议 8、附录 9、参考文献 10、成绩评定表格

一、设计背景 随着经济的快速发展,城市交通问题日益凸显严重,尤其在城市街道的十字叉路口,极其容易发生交通问题,为了保证交通秩序和人们的安全,一般在每条街上都有一组红、黄、绿交通信号灯。交通灯控制电路自动控制十字路口的红、黄、绿交通灯。交通灯通过的状态转换,指挥车辆行人通行,保证车辆行人的安全,实现十字路口交通管理自动化。 二、设计任务书 1、设计一个十字路口的交通灯控制电路,要求南北方向(即 A车道)和东西方向(即B车道)两条交叉道路上的车辆 交替运行,每次通行时间都为30秒; 2、在绿灯转红灯时,先由绿灯转为黄灯,黄灯亮6秒后,再 由黄灯转为红灯,此时另一方向才由红灯转为绿灯,车辆 才开始通行。 三、设计框图及总体描述 1、分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图1所示。它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。

图1交通灯控制系统原理框图 在图中, T30: 表示甲车道或乙车道绿灯亮的时间间隔为30秒,即车辆正常通行的时间间隔。定时时间到,T30 =1,否则,T30 =0。 T6:表示黄灯亮的时间间隔为6秒。定时时间到,T6=1,否则,T6=0。 S T:表示定时器到了规定的时间后,由控制器发出状态转换信号。 由它控制定时器开始下个工作状态的定时。 交通系统的车道信号灯的工作状态转换如下所述: 状态1:A车道绿灯亮,B车道红灯亮。表示A车道上的车辆允许通行,B车道禁止通行。绿灯亮满规定的时间隔T30时, 控制器发出状态信号S T,转到下一工作状态。 状态2:A车道黄灯亮,B车道红灯亮。表示A车道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,B车 道禁止通行。黄灯亮足规定时间间隔TY时,控制器发 出状态转换信号S T,转到下一工作状态。 状态3:A车道红灯亮,B车道黄灯亮。表示A A车道禁止通行,B车道上的车辆允许通行绿灯亮满规定的时间间隔T30 时,控制器发出状态转换信号S T,转到下一工作状态。

数字电子技术课程设计电子秒表

数字电子技术课程设计报告题目:电子秒表的设计 专业: 班级: 姓名: 指导教师: 课程设计任务书 学生班级:学生姓名:学号: 设计名称:电子秒表的设计 起止日期: 指导教师:周珍艮

目录 绪论- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 4 第一章、设计要求 1.1设计任务及目的- - - - - - - - - - - - - - - - - - - - - - -- - - - - - - - -5 1.2 系统总体框图- - - - - - - - - - - - - - - - - - - - - - - - - - - - -

- - - 5 1.3、设计方案分析- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -6 第二章、电路工作原理及相关调试 2.1 电路工作原理- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 7 2.1相关调试- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -- 11 第三章、实验总结 附录A 电子秒表原理图 附录B 相关波形 元件清单 参考文献 绪论 随着电子技术的发展,电子技术在各个领域的运用也越来越广泛,渗透到人们日常生活的方方面面,掌握必要的电工电子知识已经成为当代大学生特别是理工类大学生必备的素质之一。 电子秒表是日常生活中比较常见的电子产品,秒表的逻辑结构主要由时基电路、分频器、二一五一十进制异步加法记数器、数据选择器和显示译码器等组成。整个秒表需有一个清零/ 启动信号和一个停止/保持信号装置,以便秒表能随意停止及启动,计数器的输出全都为BCD码输出,方便显示译码器连接。本次设计基于简单易行的原则,秒表显示以0.1s为最小单位,最大量程为9.9s,采用七段数码管作为显示部分,以此来达到基本设计要求.我门设计的秒表,是以555定时器为核心,以分频、计数与译码显示模块为主要构成部分的电子秒表的设计方案,充分利用数

相关文档
相关文档 最新文档