Xilinx FPGA的Chipscope工具使用方法
1 ChipScope简介
ChipScope是Xilinx FPGA自带的实时调试和验证系统,它将逻辑分析器、总线分析器和虚拟I/O小型软件核直接插入到设计当中,使我们可以查看任何内部信号或节点,包括嵌入式硬或软处理器。以操作系统速度或接近操作系统的速度采集信号,并通过设计接口传输出来,避免了使用管脚。采集到的信号可以通过ChipScope逻辑分析器进行分析。
ChipScope主要有以下关键特性:
?分析任何内部FPGA信号,包括嵌入式处理器总线
?在设计采集时,或综合之后插入小型的、可配置的软件核
?将管脚影响降至最低
?在板上以达到或接近操作系统的速度验证DUT功能
?利用FPGA的可重编程性能,可以在几分钟或几小时内确定设计问题并进行修改,而无需传统ASIC设计中所需的几周或数月时间
?内置的软件逻辑分析器可以用来识别设计问题并进行调试,包括高级触发、滤波器和显示选项
?利用远程调试(从办公室到实验室,或在全球范围内)通过互联网联接进行调试?逻辑分析器使用的Agilent技术的最优链接,以实现最强大的验证,包括从FPGA 内部到板上任何地方的交叉互联信号。
本文档主要讲解如何使用ChipScope的Core Inserter和Analyzer工具替代逻辑分析仪对DUT逻辑功能进行分析。
2 ChipScope组成
ChipScope由三部分构成:The ChipScope Pro Core Generator、The ChipScope Pro Core Inserter和The ChipScope Pro Analyzer。
The ChipScope Pro Core Generator与Xilinx的Core Generator功能相似,用该工具可以产生ICON、ILA、ILA/ATC、IBA/OPB、IBA/PLB、VIO、ATC2等ChipScope Core,通过在DUT中例化这些软核,就把相应的逻辑分析仪功能加入了设计,从而可以观察和调试FPGA内部信号。其优点是生成的软核可以重复调用,但每次调用都需要编写相应的逻辑。
The ChipScope Pro Core Inserter是把ICON、ILA、ILA/ATC和ATC2等软核插入DUT 的另外一种方式:它的输入是综合后的网表文件,把相应软核加入网表中,作为DUT的一部分直接插入DUT中,重新综合和布局布线后就可以观察调试FPGA内部信号。用这种方式插入软核不需要做任何HDL的例化。
The ChipScope Pro Analyzer通过FPGA配置接口与FPGA连接,可以配置FPGA功能,可以抓取FPGA中软核设置信号的运行状态,也可以设置触发,满足某个条件或某些条件的逻辑组合后再抓取相应场景下的运行状态。
需要注意的是:软核要占用FPGA的资源(尤其占用memory资源较多),当DUT已经占用FPGA较多资源时,软核的插入可能会对FPGA性能有影响;此时应该更加注意FPGA 的约束设计。
3 The ChipScope Pro Core Inserter的设置
(1)前提:
在XILINX ISE工具下对project进行synthesize,生成网表文件*.ngc文件
(2)The ChipScope Pro Core Inserter的设置:
第一步:打开The ChipScope Pro Core Inserter,选择网表文件和器件
选input design netlist列的Browse,选择网表输入文件,即ISE产生的*.ngc文件。
chipscope将自动指定输出文件和输出目录,一般不用修改;
在Device Family中选择器件,如下图:
选择Next,进入第二步;
第二步:确定是否使能JTAG时钟使用BUFG进行综合,我们一般使能这个功能,即复选框不选中,如下图;
第三步:设置触发信号和需要捕获的信号
?触发信号设置:
1)触发信号个数设置:如下图,一共可以设置16个触发信号;
2) 我们选择两个触发信号,则出现下图
3) 触发信号可以设置单信号触发,也可以设置总线触发,总线最多可以设置位宽为16bit ; 4) Match Type 有6种设置方式,如下图,包括Basic 、Basic w/edges 、Extended 、Extended
w/edges 、Range 和Range w/edges ;
各种设置方式的参数表如下表: Match Type Bit Values
Functions
Basic 0、1、X =
、<> Basic w/edges 0、1、X 、R 、B 、F =
、<>
Extended
0、1、X =
、<>、>、>=、<、<=
Extended w/edges 0、1、X
、R 、B 、F =
、<>、>、>=、<、<= Range 0、1、X =
、<>、>、>=、<、<=,in range ,
out of range Range w/edges 0、1、X 、R 、B 、F =
、<>、>、>=、<、<=,in range ,
out of range
5) 使能触发信号
? capture parameters 设置
Data Depth 设置要捕获的信号数量,Data Width 设置要捕获多少根信号线,这两个参数确定了需要占用FPGA 多少资源,在Resource Utilization 中对使用的资源进行统计。
? 设置网络连接
需要设置的信号共有三类:Clock Port 、Trigger Ports 和Data Port ,如下图:
点击modify connection,设置信号
选中要连接的信号,点击Make Connection,则CH0和clk_out_1连接在一起。
信号设置完成后,点击Insert,把软核插入设计网表中,
在messages窗口会出现结果
返回ISE,加入chipscope文件*.cdc到project中,重新生成bit文件,下载到FPGA中,准备测试。
4 The ChipScope Pro Analyzer的使用
打开ChipScope Pro Analyzer,出现如下界面:
选择图标(Open Cable/Search JTAG Chain),当FPGA下载电缆与JTAG接口正确连接时,出现如下提示(以JTAG链上有两个器件为例):
此时FPGA中还没有配置相应的逻辑,按如下步骤配置并捕获数据进行分析:
1)选择Device——>DEV:1…——>Configure…
2)选择要配置的bit文件
3)FPGA配置完成后,自动加载Core Inserter中设置的信号和触发条件信号和触发条件等信息
的信号;
5)触发条件的设置
6)开始捕获数据
7)ChipScope还支持多次触发,可以设置多个窗口
从上图中可以看出,我们设置了5个触发窗口,每个窗口64个时钟周期的数据,在触发时刻前会捕获10个时钟周期的数据。
8)设置完成后,捕获数据会出现如下界面:
其中红线表示触发时刻,图中显示了四个触发时刻的数据。
根据触发条件和捕获的数据,可以与仿真数据进行比较分析,从而判断结果是否正
确。
示波器的使用方法 示波器虽然分成好几类,各类又有许多种型号,但是一般的示波器除频带宽度、输入灵敏度等不完全相同外,在使用方法的基本方面都是相同的。本章以SR-8型双踪示波器为例介绍。 (一)面板装置 SR-8型双踪示波器的面板图如图5-12所示。其面板装置按其位置和功能通常可划分为3大部分:显示、垂直(Y轴)、水平(X轴)。现分别介绍这3个部分控制装置的作用。 1.显示部分主要控制件为: (1)电源开关。 (2)电源指示灯。 (3)辉度调整光点亮度。 (4)聚焦调整光点或波形清晰度。 (5)辅助聚焦配合“聚焦”旋钮调节清晰度。 (6)标尺亮度调节坐标片上刻度线亮度。 (7)寻迹当按键向下按时,使偏离荧光屏的光点回到显示区域,而寻到光点位置。 (8)标准信号输出 1kHz、1V方波校准信号由此引出。加到Y轴输入端,用以校准Y 轴输入灵敏度和X轴扫描速度。 2.Y轴插件部分 (1)显示方式选择开关用以转换两个Y轴前置放大器Y A与YB 工作状态的控制件,具有五种不同作用的显示方式:
“交替”:当显示方式开关置于“交替”时,电子开关受扫描信号控制转换,每次扫描都轮流接通Y A或YB 信号。当被测信号的频率越高,扫描信号频率也越高。电 子开关转换速率也越快,不会有闪烁现象。这种工作状态适用于观察两个工作频率较高的信号。 “断续”:当显示方式开关置于“断续”时,电子开关不受扫描信号控制,产生频率固定为200kHz方波信号,使电子开关快速交替接通Y A和YB。由于开关动作频率高于被测信号频率,因此屏幕上显示的两个通道信号波形是断续的。当被测信号频率较高时,断续现象十分明显,甚至无法观测;当被测信号频率较低时,断续现象被掩盖。因此,这种工作状态适合于观察两个工作频率较低的信号。 “Y A”、“YB ”:显示方式开关置于“Y A ”或者“YB ”时,表示示波器处于单通道工作,此时示波器的工作方式相当于单踪示波器,即只能单独显示“Y A”或“YB ”通道的信号波形。 “Y A + YB”:显示方式开关置于“Y A + YB ”时,电子开关不工作,Y A与YB 两路信号均通过放大器和门电路,示波器将显示出两路信号叠加的波形。 (2)“DC-⊥-AC” Y轴输入选择开关,用以选择被测信号接至输入端的耦合方式。置于“DC”是直接耦合,能输入含有直流分量的交流信号;置于“AC”位置,实现交流耦合,只能输入交流分量;置于“⊥”位置时,Y轴输入端接地,这时显示的时基线一般用来作为测试直流电压零电平的参考基准线。 (3)“微调V/div” 灵敏度选择开关及微调装置。灵敏度选择开关系套轴结构,黑色旋钮是Y轴灵敏度粗调装置,自10mv/div~20v/div分11档。红色旋钮为细调装置,顺时针方向增加到满度时为校准位置,可按粗调旋钮所指示的数值,读取被测信号的幅度。当此旋钮反时针转到满度时,其变化范围应大于2.5倍,连续调节“微调”电位器,可实现各档级之间的灵敏度覆盖,在作定量测量时,此旋钮应置于顺时针满度的“校准”位置。 (4)“平衡” 当Y轴放大器输入电路出现不平衡时,显示的光点或波形就会随“V/div”开关的“微调”旋转而出现Y轴方向的位移,调节“平衡”电位器能将这种位移减至最小。 (5)“↑↓ ” Y轴位移电位器,用以调节波形的垂直位置。 (6)“极性、拉Y A” Y A通道的极性转换按拉式开关。拉出时Y A 通道信号倒相显示,即显示方式(Y A+ YB )时,显示图像为YB - Y A。 (7)“内触发、拉YB ” 触发源选择开关。在按的位置上(常态)扫描触发信号分别
触摸屏控控制 1 触摸屏原理 S3C2410接4线电阻式触摸屏的电路原理如图1所示。整个触摸屏由模向电阻比和纵向电阻线组成,由nYPON、YMON、nXPON、XMON四个控制信号控制4个MOS 管(S1、S2、S3、S4)的通断。S3C2410有8个模拟输入通道。其中,通道7作为触摸屏接口的X坐标输入(图1的AIN[7]),通道5作为触摸屏接口的Y坐标输入(图1的AIN[5])。电路如图2所示。在接入S3C2410触摸屏接口前,它们都通过一个阻容式低通滤器滤除坐标信号噪声。这里的滤波十分重要,如果传递给S3C2410模拟输入接口的信号中干扰过大,不利于后续的软件处理。在采样过程中,软件只用给特殊寄存器置位,S3C2410的触摸屏控制器就会自动控制触摸屏接口打开或关闭各MOS管,按顺序完成X坐标点采集和Y坐标点采集。 2 S3C2410触摸屏控制器 S3C2410触摸屏控制器有2种处理模式: ①X/Y位置分别转换模式。触摸屏控制器包括两个控制阶段,X坐标转换阶段和Y坐标转换阶段。 ②X/Y位置自动转换模式。触摸屏控制器将自动转换X和Y坐标。 本文使用X/Y位置自动转换模式。 3 S3C2410触摸屏编程 由于触摸屏程序中参数的选取优化需要多次试验,而加入操作系统试验参数,每次编译下载耗费时间过多,不易于试验的进行,因而我们直接编写裸机触摸屏程序。三星公司开放了S3C2410测试程序2410test(可在三星网站下载),提供了触摸屏接口自动转换模式的程序范例,见本刊网站。本文在此范例的基础上编写了触摸屏画图板程序——在显示屏上画出触摸笔的流走痕迹。 针对坐标点采样时产生的噪声,本文采用噪声滤波算法,编写了相应的噪声滤波程序,滤除干扰采样点。整个触摸屏画图板程序的处理流程如图3所示。 3.1 程序初始化 初始化触摸屏控制器为自动转换模式。其中寄存器ADCDLY的值需要根据具体的试验选取,可运行本文提供的程序看画线的效果来选取具体的参数。触摸屏中断处理程序Adc_or_TsAuto是判断触摸屏是否被按下了。触摸屏被按下,给全局变量Flag_Touch赋值为Touch_Down,否则赋值为Touch_Up。 初始化脉宽调制计时器(PWM TIMER),选择计时器4为时钟,定义10ms中断1次,提供触摸屏采样时间基准,即10ms触摸屏采样1次。计数器中断处理程序Timer4Intr中判断Flag_Touch被赋值为Touch_Down,则给全局变量gTouchSta rtSample置位,以控制触摸屏采样。 之后清除触摸屏中断和计时器中断屏蔽位,接受中断响应,同时计时器开始计时。 3.2 触摸屏采样程序
示波器 摘要:以数据采集卡为硬件基础,采用虚拟仪器技术,完成虚拟数字示波器的设计。能够具有运行停止功能,图形显示设置功能,显示模式设置功能并具有数据存储和查看存储数据等功能。实验结果表明, 该仪器能实现数字示波器的的基本功能,解决了传统测试仪器的成本高、开发周期长、数据人工记录等问题。 1.实验目的 1.理解示波器的工作原理,掌握虚拟示波器的设计方法。 2.理解示波器数据采集的原理,掌握数据采集卡的连接、测试和编程。 3.掌握较复杂的虚拟仪器的设计思想和方法,用LabVIEW实现虚拟示波器。 2. 实验要求 1.数据采集 用ELVIS实验平台,用DAQmx编程,通过数据采集卡对信号进行采集,并进行参数的设置。 2.示波器界面设计 (1)设置运行及停止按钮:按运行时,示波器工作;按停止时,示波器停止工作。 (2)设置图形显示区:可显示两路信号,并可进行图形的上下平移、图形的纵向放大与缩小、图形的横向扩展与压缩。 (3)设置示波器的显示模式:分为单通道模式(只显示一个通道的图形),多通道模式(可同时显示两个通道),运算模式(两通道相加、两通道相减等)。
万联芯城https://www.wendangku.net/doc/3312181037.html,作为国内优秀的电子元器件采购网,一直秉承着以良心做好良芯的服务理念,万联芯城为全国终端生产研发企业提供原装现货电子元器件产品,拥有3000平方米现代化管理仓库,所售电子元器件有IC集成电路,二三极管,电阻电容等多种类别主动及被动类元器件,可申请样片,长久合作可申请账期,万联芯城为客户提供方便快捷的一站式电子元器件配套服务,提交物料清单表,当天即可获得各种元件的优势报价,整单付款当天发货,物料供应全国,欢迎广大客户咨询合作,点击进入万联芯城
XilinxFPGA引脚功能详细介绍 注:技术交流用,希望对大家有所帮助。 IO_LXXY_# 用户IO引脚 XX代表某个Bank内唯一的一对引脚,Y=[P|N]代表对上升沿还是下降沿敏感,#代表bank号 2.IO_LXXY_ZZZ_# 多功能引脚 ZZZ代表在用户IO的基本上添加一个或多个以下功能。 Dn:I/O(在readback期间),在selectMAP或者BPI模式下,D[15:0]配置为数据口。在从SelectMAP读反馈期间,如果RDWR_B=1,则这些引脚变成输出口。配置完成后,这些引脚又作为普通用户引脚。 D0_DIN_MISO_MISO1:I,在并口模式(SelectMAP/BPI)下,D0是数据的最低位,在Bit-serial模式下,DIN是信号数据的输入;在SPI模式下,MISO是主输入或者从输出;在SPI*2或者SPI*4模式下,MISO1是SPI总线的第二位。 D1_MISO2,D2_MISO3:I,在并口模式下,D1和D2是数据总线的低位;在SPI*4模式下,MISO2和MISO3是SPI总线的MSBs。 An:O,A[25:0]为BPI模式的地址位。配置完成后,变为用户I/O口。 AW AKE:O,电源保存挂起模式的状态输出引脚。SUSPEND是一个专用引脚,AWAKE 是一个多功能引脚。除非SUSPEND模式被使能,AWAKE被用作用户I/O。 MOSI_CSI_B_MISO0:I/O,在SPI模式下,主输出或者从输入;在SelectMAP模式下,CSI_B是一个低电平有效的片选信号;在SPI*2或者SPI*4的模式下,MISO0是SPI总线的第一位数据。 FCS_B:O,BPI flash 的片选信号。 FOE_B:O,BPI flash的输出使能信号 FWE_B:O,BPI flash 的写使用信号 LDC:O,BPI模式配置期间为低电平 HDC:O,BPI模式配置期间为高电平 CSO_B:O,在并口模式下,工具链片选信号。在SPI模式下,为SPI flsah片选信号。 IRDY1/2,TRDY1/2:O,在PCI设计中,以LogiCORE IP方式使用。 DOUT_BUSY:O,在SelectMAP模式下,BUSY表示设备状态;在位串口模式下,DOUT 提供配置数据流。 RDWR_B_VREF:I,在SelectMAP模式下,这是一个低电平有效的写使能信号;配置完成后,如果需要,RDWR_B可以在BANK2中做为Vref。 HSW APEN:I,在配置之后和配置过程中,低电平使用上拉。 INIT_B:双向,开漏,低电平表示配置内存已经被清理;保持低电平,配置被延迟;在配置过程中,低电平表示配置数据错误已经发生;配置完成后,可以用来指示POST_CRC 状态。 SCPn:I,挂起控制引脚SCP[7:0],用于挂起多引脚唤醒特性。 CMPMOSI,CMPMISO,CMPCLK:N/A,保留。 M0,M1:I,配置模式选择。M0=并口(0)或者串口(1),M1=主机(0)或者从机(1)。 CCLK:I/O,配置时钟,主模式下输出,从模式下输入。 USERCCLK:I,主模式下,可行用户配置时钟。 GCLK:I,这些引脚连接到全局时钟缓存器,在不需要时钟的时候,这些引脚可以作为常规用户引脚。 VREF_#:N/A,这些是输入临界电压引脚。当外部的临界电压不必要时,他可以作为
示波器的使用方法教程 ST-16示波器的使用 示波器是有着极其广泛用途的测量仪器之一〃借助示波器能形象地观察波形的瞬变过程,还可以测量电压。电流、周期和相位,检查放大器的失真情况等〃示波器的型号很多,它的基本使用方法是差不多的〃下面以通用ST一16型示波器为例,介绍示波器的使用方法。 面板上旋钮或开关的功能 图1是ST一16型示波器的面板图。 示波器是以数字座标为基础来显示波形的〃通常以X轴表示时间,Y轴表示幅度〃因而在图1中,面板下半部以中线为界,左面的旋钮全用于Y轴,右面的旋钮全用于X 轴。面板上半部分为显示屏。显示屏的右边有三个旋钮是调屏幕用的〃所有的旋钮,开关功能见表1。其中8、10,14,16号旋钮不需经常调,做成内藏式。
显示屏读数方法 在显示屏上,水平方向X轴有10格刻度,垂直方向Y轴有8格刻度〃这里的一格刻度读做一标度,用div表示〃根据被测波形垂直方向(或水平方向)所占有的标度数,乘以垂直输入灵敏度开关所在档位的V/div数(或水平方向t/div),得出的积便是测量结果。Y轴使用10:1衰减探头的话还需再乘10。 例如图2中测电压峰—峰值时,V/div档用0〃1V/div,输入端用了10 : l 衰减探头,则Vp-p=0〃1V/div×3〃6div×10=3〃6V,t/div档为2ms/div,则波形的周期:T=2ms/div×4div=8ms。 使用前的准备 示波器用于旋钮与开关比较多,初次使用往往会感到无从着手。初学者可按表2方式进行调节。表2位置对示波器久藏复用或会使用者也适用。
使用前的校准 示波器的测试精度与电源电压有关,当电网电压偏离时,会产生较大的测量误差〃因此在使用前必须对垂直和水平系统进行校准。校准方法步骤如下: 1〃接通电源,指示灯有红光显示,稍等片刻,逆时针调节辉度旋钮,并适当调准聚焦,屏幕上就显示出不同步的校准信号方波。 2〃将触发电平调离“自动”位置,逆时针方向旋转旋钮使方波波形同步为止。并适当调节水平移位(11)和垂直移位(5)。 3〃分别调节垂直输入部分增益校准旋钮(10)和水平扫描部分的扫描校准旋钮(14),使屏幕显示的标准方波的垂直幅度为5div,水平宽度为10div,如图3所示,ST一16示波器便可正常工作了。 示波器演示和测量举例 一,用ST一16示波器演示半波整流工作原理: 首先将垂直输入灵敏度选择开关(以下简写V/div)拨到每格0〃5V档,扫描时间转换开关(s/div)拨至每格5ms档,输入耦合开关拨至AC档,将输入探头的两端与电源变压器次级相接,见图4,这时屏幕显示如图5(a)所示的交流电压波形。 如果将探头移到二极管的负端处,这时屏幕上显示图5(b)所示的半波脉冲电压波形〃接上容量较大的电解电容器C进行滤波,调节一下触发电平旋钮(15),在示波器屏幕上可看到较为平稳的直流电压波形,见图5(c)。电容C的容量越大,脉冲成分越小,电压越平稳。
DC综合全过程及使用的命令 DC的初始化文件.synopsys.dc.setup,该文件是隐藏文件,需要用ls –a显示 读取文件 DC支持多种硬件描述格式,.db .v .vhd等 对于dcsh工作模式来说,读取不同的文件格式需要不同的参数 Read -format Verilog[db\vhdl ect] file //dcsh工作模式 对于tcl工作模式来说,读取不同文件格式使用不同的命令。
Read_db file.db //tcl工作模式读取db格式 Read_verilog file.v //tcl工作模式读取verilog格式 Read_vhdl file.v //tcl工作模式读取vhdl格式 读取源程序的另外一种方式是配合使用analyzer命令和elaborate命令; Analyzer是分析HDL的源程序并将分析产生的中间文件存于work(用户可以自己指定)的目录下 Elaborate则在产生的中间文件中生成verilog的模块或者VHDL的实体 缺省情况下,elaborate读取的是work目录中的文件 当读取完所要综合的模块后,需要使用link命令将读到DC存储区中的模块或实体连接起来 注意:如果在使用link命令后,出现unresolved design reference的警告信息,需要重新读取该模块,或者在.synopsys_dc.setup 文件中添加link_library,告诉DC到库中去找这些模块,同时还要注意search_path中的路径是否指向该模块或单元电路所在的目录 Link命令执行后,DC就将模块按照RTL级或者门级的描述将电路连接起来,之后的各种限制条件就可以对该电路的顶层模块施加 DC限制条件施加的对象 针对以下电路成分:输入输出端口、模块、子模块的实例化、单元电路、连线及电路的引脚。在使用DC命令的时候,不要使用模糊的对象,例如,如下面的电路: Set_load 3 Clk 由于在电路中含有名称为CLK的pin、port和net,因此,DC无法知道该处的load是施加在哪个对象上,注意到一般连线会驱动负载,该命令就改为: Set_load 3 [get_nets Clk] TCL mode Set_load 3 find(net,”Clk”)dc_shell mode 路径的划分与合法路径 DC综合的时候是基于路径的优化,对于DC来说,合法的路径必须满足以下条件 路径的起点为输入端口或者是触发器的数据端 路径终点为输出端口或者是触发器的时钟端 DC设定的限制条件的值都是无单位的,这些单位都是由工艺库中给定的 可以用report_lib lib_name来查看所有在工艺库中设定的各个参数的单位 定义电路的工作环境和综合环境
5.1.6 SelectIO模块 Virtex-6每个I/O片(I/O Tile)包含两个IOB、两个ILOGIC、两个OLOGIC 和两个IODELAY,如图5-24 所示。 图5-24 I/O 片结构图 本小节就以下几个方面介绍Virtex-6 的SelectIO 资源。 (1) SelectIO 的电气特性。 (2) SelectIO 的逻辑资源——ILOGIC 资源和OLOGIC 资源。 (3) SelectIO 的高级逻辑资源——ISERDES 资源、OSERDES 资源和Bitslip。 一、SelectIO IO 的电气特性 所有的Virtex-6 FPGA 有高性能的可配置SelectIO 驱动器与接收器,支持非常广泛的接口标准。强大的功能SelectIO 包括输出强度和斜率的可编程控制以及使用数控阻抗(DCI)的片上终端。
IOB 包含输入、输出和三态SelectIO 驱动器。支持单端I/O 标准(LVCMOS、 HSTL、SSTL)和差分I/O 标准(LVDS、HT、LVPECL、BLVDS、差分HSTL 和SSTL)。 注意:差分输入和VREF 相关输入由VCCAUX 供电。 IOB、引脚及部逻辑的连接如图5-25 所示。 图5-25 IOB、引脚及部逻辑连接图 IOB 直接连接ILOGIC/OLOGIC 对,该逻辑对包含输入和输出逻辑资源,可实现数据和三态控制。ILOGIC和OLOGIC可分别配置为ISERDES和OSERDES。Xilinx软件库提供了大量与I/O相关的原语,在例化这些原语时,可以指定I/O 标准。与单端I/O相关的原语包括IBUF(输入缓冲器)、IBUFG(时钟输入缓冲器)、OBUF(输出缓冲器)、OBUFT(三态输出缓冲器)和IOBUF(输入/输出缓冲器)。与差分I/O相关的原语包括IBUFDS(输入缓冲器)、IBUFGDS (时钟输入缓冲器)、OBUFDS(输出缓冲器)、OBUFTDS(三态输出缓冲器)、IOBUFDS(输入/输出缓冲器)、IBUFDS_DIFF_OUT(输入缓冲器)和IOBUFDS_DIFF_OUT(输入/输出缓冲器)。 二、SelectIO的逻辑资源 SelectIO的逻辑资源主要是指ILOGIC和OLOGIC资源,它们完成了FPGA引脚到部逻辑的连接功能,包括组合输入/输出、三态输出控制、寄存器输入/输出、寄存器三态输出控制、DDR输入/输出、DDR输出三态控制、IODELAYE1高分辨率可调整延迟单元及其控制模块。 下面简要介绍ILOGIC和OLOGIC功能。
喾林原创 Synopsys DC10.03安装配置 1、需准备安装包: 1)、Synopsys DC(design compiler)安装包 2)、SCL 安装包(注:此包为synopsys license 管理)。 3)、Synopsys 图像安装工具:installer2.0及以上均可。 (注:图形安装操作简单,不易出错,故采用图形安装界面) 4)、Synopsys license 制作工具( EFA LicGen 0.4b 和Synopsys SSS Feature Keygen )。 2、开始安装DC : 1)、启动图形安装界面 于linux 系统下解压installer2.0包(在windows 下解压文件易损坏)。解压后运行setup.sh 得如图(一)所示界面。 图 (一)
喾林原创点击“start ”有如图(二)所示界面开始安装。在“Source ”栏选中DC 安装文件所在上层目录。“Done ”后“Next ”(此次“Next ”时间较长,耐心等待)。 图 (二) 之后可一直“NEXT ”到如图(三)所示。 图 (三)
在该界面勾选linux选项即可,继续下一步到如图(四)所示。选择安装路径后继续下一步直到结束。 喾林原创 图(四) 至此DC安装结束。 3、开始安装SCL: 此安装与DC安装步骤一直,几乎没有差别,唯一不同的就是安装路径不同。 4、license的制作: License的制作是在windows下制作的。
1)、打开EFA LicGen 0.4b文件夹运行LicGen.exe程序出现如图(五)所示界面。 喾林原创 图(五) 点击“OPEN”选择Synopsys.lpd文件,“打开”。回到图(五)所示界面。勾选上Custon、Use Daemon及最后一个Custon。
安装SATOOL6.0触摸屏软件: 1、双击 2、选择中文 3、下一步: 4、选择“我同意”并点击下一步: 5、选择安装目录,默认即可:
6、一直点击下一步直至安装完成,桌面出现。 7、如果安装完毕后驱动仍然不能使用,请手动安装: 1 插入设备之后会有提示未完成安装驱动,忽略; 2 打开设备管理器,选择带有黄色叹号的HMI设备并右键选择更新驱动; 3 在对话框选择“从列表或指定位置安装(高级)”; 4 浏览—选取usb驱动所在的位置—“C:\Program Files\SATOOL\USB”,或“C:\Program Files (x86)\SATOOL\USB” 5 点击下一步-完成;即能完成手动安装驱动的步骤; SATOOL6.0触摸屏上载: 1、打开软件后,选择下载(D)--->上载,如下图: 2、选择上载后,弹出如下窗口,选择文件路径用于保存文件,及工程名称作为文件名:
3、点击上传文件按钮SATOOL6.0触摸屏下载: 1、选择下载(D)--->编译+下载 2、选择下载:
安装WinProladder编程软件: 1、双击,选择下一步: 2、选择安装目录,默认即可,选择下一步: 4、点击下一步直至安装完成。WinProladder编程软件上载: 1、桌面出现,双击打开。
2、注意:必须空白文档,不要新建或者打开文件情况下选择PLC---->联机,弹出如下窗口,选择否: 3、点击自动检知按钮,然后确定: 4、弹出如下窗口,参数默认即可:
5、点击确定后,如果通讯成功的话弹出如下窗口: WinProladder编程软件下载: 1、打开修改好的程序文件,按照上载步骤操作到第5步,弹出如下窗口: 2、这时,弹出窗口,提示程序与PLC不一致,选择是,即可完成下载: 3、选择PLC--->执行,弹出窗口选择是,运行PLC:
示波器是一种用途十分广泛的电子测量仪器。它能把肉眼看不见的电信号变换成看得见的图像,便于人们研究各种电现象的变化过程。示波器的使用方法: 示波器,“人”如其名,就是显示波形的机器,它还被誉为“电子工程师的眼睛”。它的核心功能就是为了把被测信号的实际波形显示在屏幕上,以供工程师查找定位问题或评估系统性能等等。它的发展同样经历了模拟和数字两个时代 数字示波器,更准确的名称是数字存储示波器,即DSO(Digital Storage Oscilloscope)。这个“存储”不是指它可以把波形存储到U盘等介质上,而是针对于模拟示波器的即时显示特性而言的。模拟示波器靠的是阴极射线管(CRT,即俗称的电子枪)发射出电子束,而这束电子在根据被测信号所形成的磁场下发生偏转,从而在荧屏上反映出被测信号的波形,这个过程是即时地,中间没有任何的存储过程的。而数字示波器的原理却是这样的:首先示波器利用前端ADC对被测信号进行快速的采样,这个采样速度通常都可以达到每秒几百M到几G次,是相当快的;而示波器的后端显示部件是液晶屏,液晶屏的刷新速率一般只有几十到一百多Hz;如此,前端采样的数据就不可能实时的反应到屏幕上,于是就诞生了存储这个环节:示波器把前端采样来的数据暂时保存在内部的存储器中,而显示刷新的时候再来这个存储器中读取数据,用这级存储环节解决前端采样和后端显示之间的速度差异。
很多人在第一次见到示波器的时候,可能会被他面板上众多的按钮唬住,再加上示波器一般身价都比较高,所以对使用它就产生了一种畏惧情绪。这是不必要的,因为示波器虽然看起来很复杂,但实际上要使用它的核心功能——显示波形,并不复杂,只要三四个步骤就能搞定了,而现在示波器的复杂都是因为附加了很多辅助功能造成的,这些辅助功能自然都有它们的价值,熟练灵活的应用它们可以起到事半功倍的效果。作为初学者,我们先不管这些,我们只把它最核心的、最基本的功能应用起来即可。
Spartan-3E Starter Kit Board User Guide
Chapter 1: Introduction and Overview Chapter 2: Switches, Buttons, and Knob Chapter 3: Clock Sources Chapter 4: FPGA Configuration Options Chapter 5: Character LCD Screen Chapter 6: VGA Display Port Chapter 7: RS-232 Serial Ports Chapter 8: PS/2 Mouse/Keyboard Port Chapter 9: Digital to Analog Converter (DAC) Chapter 10: Analog Capture Circuit Chapter 11: Intel StrataFlash Parallel NOR Flash PROM Chapter 12: SPI Serial Flash Chapter 13: DDR SDRAM Chapter 14: 10/100 Ethernet Physical Layer Interface Chapter 15: Expansion Connectors Chapter 16: XC2C64A CoolRunner-II CPLD Chapter 17: DS2432 1-Wire SHA-1 EEPROM
Chapter 1:Introduction and Overview Spartan-3E 入门实验板使设计人员能够即时利用Spartan-3E 系列的完整平台性能。 设备支持设备支持::Spartan-3E 、CoolRunner-II 关键特性关键特性::Xilinx 器件: Spartan-3E (50万门,XC3S500E-4FG320C), CoolRunner?-II (XC2C64A-5VQ44C)与Platform Flash (XCF04S-VO20C) 时钟时钟::50 MHz 晶体时钟振荡器 存储器: 128 Mbit 并行Flash, 16 Mbit SPI Flash, 64 MByte DDR SDRAM 连接器与接口: 以太网10/100 Phy, JTAG USB 下载,两个9管脚RS-232串行端口, PS/2类型鼠标/键盘端口, 带按钮的旋转编码器, 四个滑动开关,八个单独的LED 输出, 四个瞬时接触按钮, 100管脚hirose 扩展连接端口与三个6管脚扩展连接器 显示器: VGA 显示端口,16 字符- 2 线式 LCD 电源电源::Linear Technologies 电源供电,TPS75003三路电源管理IC 市场: 消费类, 电信/数据通信, 服务器, 存储器 应用: 可支持32位的RISC 处理器,可以采用Xilinx 的MicroBlaze 以及PicoBlaze 嵌入式开发系统;支持DDR 接口的应用;支持基于Ethernet 网络的应用;支持大容量I/O 扩展的应用。 Choose the Starter Kit Board for Your Needs Spartan-3E FPGA Features and Embedded Processing Functions Spartan3-E FPGA 入门实验板具有Spartan3-E FPGA 系列突出独特的特点和为嵌入式处理发展与应用提供了很大的方便。该板的特点如下: Spartan3-E 特有的特征:并行NOR Flash 配置;通过并行NOR Flash PROM 实现FPGA 的多种配置方式 嵌入式系统:MicroBlaze? 32-bit 嵌入RISC 处理器;PicoBlaze? 8-bit 嵌入控制器;DDR 存储器接口 Learning Xilinx FPGA, CPLD, and ISE Development Software Basics Spartan3-E FPGA 入门实验板比其他的入门实验板先进、复杂。它是学习FPGA 或CPLD 设计和怎样运用ISE 软件的基础。 Advanced Spartan-3 Generation Development Boards 入门实验板示范了MicroBlaze? 32-bit 嵌入式处理器和EDK 的基本运用。其更先进的地方
以.cshrc 及用户根目录下的.synopsys_vss.setup .synopsys_dc.setup 已经配置为前提)1.创建工作目录; 2.编写vhdl源程序; 3.编写.synopsys_vss.setup 和.synopsys_dc.setup文件; 4.编译vhdl源程序; 5.运行vhdldbx 仿真器; 6.运行synopsys的综合器; 7.完成综合后的门级仿真; 以一个一位加法器为例,进行具体说明(用户界面为CDE): 1)创建adder 目录: 可以在资源管理器中完成,也可以在unix环境下完成:mkdir adder; 2)在adder目录下创建work目录; 3)编写.synopsys_vss.setup文件并存入adder目录;.synopsys_vss.setup的内容如下:WORK >DEFAULT DEFAULT:work TIMEBASE = NS 4)编写一位加法器的源程序(adder1.vhd)并存入adder目录下: library ieee; use ieee.std_logic_1164.all; entity adder1 is port(din1 : in std_logic; din2 : in std_logic; cin : in std_logic; dout: out std_logic; cout: out std_logic); end adder1; architecture rtl of adder1 is begin dout <= din1 xor din2 xor cin; cout <= (din1 and din2) or (cin and (din1 xor din2)); end rtl; 5)编写一位加法器的测试基准(即test_bench)并存入adder目录下:(tb_adder1.vhd)library ieee; use ieee.std_logic_1164.all; entity tb_adder1 is end tb_adder1; architecture rtl of tb_adder1 is component adder1 is port(din1 : in std_logic; din2 : in std_logic; cin : in std_logic;
示波器的使用 【实验目的】 1.了解示波器的结构和示波器的示波原理; 2.掌握示波器的使用方法,学会用示波器观察各种信号的波形; 3.学会用示波器测量直流、正弦交流信号电压; 4.观察利萨如图,学会测量正弦信号频率的方法。 【实验仪器】 YB4320/20A/40双踪示波器,函数信号发生器,电池、万用电表。 图1实验仪器实物图 【实验原理】 示波器是一种能观察各种电信号波形并可测量其电压、频率等的电子测量仪器。示波器还能对一些能转化成电信号的非电量进行观测,因而它还是一种应用非常广泛的、通用的电子显示器。 1.示波器的基本结构 示波器的型号很多,但其基本结构类似。示波器主要是由示波管、X轴与Y轴衰减器和放大器、锯齿波发生器、整步电路、和电源等几步分组成。其框图如图2所示。
图2示波器原理框图 (1)示波管 示波管由电子枪、偏转板、显示屏组成。 电子枪:由灯丝H、阴极K、控制栅极G、第一阳极A1、第二阳极A2组成。灯丝通电发热,使阴极受热后发射大量电子并经栅极孔出射。这束发散的电子经圆筒状的第一阳极A1和第二阳极A2所产生的电场加速后会聚于荧光屏上一点,称为聚焦。A1与K之间的电压通常为几百伏特,可用电位器W2调节,A1与K 之间的电压除有加速电子的作用外,主要是达到聚焦电子的目的,所以A1称为聚焦阳极。W2即为示波器面板上的聚焦旋钮。A2与K之间的电压为1千多伏以上,可通过电位器W3调节,A2与K之间的电压除了有聚焦电子的作用外,主要是达到加速电子的作用,因其对电子的加速作用比A1大得多,故称A2为加速阳极。在有的示波器面板上设有W3,并称其为辅助聚焦旋钮。 在栅极G与阳极K之间加了一负电压即U K﹥U G,调节电位器W1可改变它们之间的电势差。如果G、K间的负电压的绝对值越小,通过G的电子就越多,电子束打到荧光屏上的光点就越亮,调节W1可调节光点的亮度。W1在示波器面板上为“辉度”旋钮。 偏转板:水平(X轴)偏转板由D1、D2组成,垂直(Y轴)偏转板由D3、、D4组成。偏转板加上电压后可改变电子束的运动方向,从而可改变电子束在荧光屏上产生的亮点的位置。电子束偏转的距离与偏转板两极板间的电势差成正比。 显示屏:显示屏是在示波器底部玻璃内涂上一层荧光物质,高速电子打在上面就会发荧光,单位时间打在上面的电子越多,电子的速度越大光点的辉度就越大。荧光屏上的发光能持续一段时间称为余辉时间。按余辉的长短,示波器分为长、中、短余辉三种。 (2)X轴与Y轴衰减器和放大器 示波管偏转板的灵敏度较低(约为0.1~1mm/V)当输入信号电压不大时,荧光屏上的光点偏移很小而无法观测。因而要对信号电压放大后再加到偏转板上,为此在示波器中设置了X轴与Y轴放大器。当输入信号电压很大时,放大器无法正常工作,使输入信号发生畸变,甚至使仪器损坏,因此在放大器前级设置有衰减器。X轴与Y轴衰减器和放大器配合使用,以满足对各种信号观测的要求。
Xilinx FPGA配置的一些细节 2010年07月03日星期六 14:26 0 参考资料 (1) Xilinx: Development System Reference Guide. dev.pdf, v10.1 在Xilinx的doc目录下有。 (2) Xilinx: Virtex FPGA Series Configuration and Readback. XAPP138 (v2.8) March 11, 2005 在Xilinx网站上有,链接 (3)Xilinx: Using a Microprocessor to Configure Xilinx FPGAs via Slave Serial or SelectMAP Mode.XAPP502 (v1.5) December 3, 2007 在Xilinx网站上有,链接 注:此外xapp139和xapp151也是和配置相关的。 (4)Xilinx: Virtex-4 Configuration Guide. UG071 (v1.5) January 12, 2007 (5) Tell me about the .BIT . 链接: 1 Xilinx配置过程 主要讲一下Startup Sequence。 Startup Sequence由8个状态组成. 除了7是固定的之外,其它几个的顺序是用户可设置的,而且Wait for DCM和DCI是可选的。 其中默认顺序如下: 这些在ISE生成bit文件时通过属性页设定。 这几个状态的具体含义如下: Release_DONE : DONE信号变高 GWE : 使能CLB和IOB,FPGA的RAMs和FFs可以改变状态 GTS : 激活用户IO,之前都是高阻。
设置启动dc_shell-t工具的 .synopsys.setup文件 Author:周建伟 Company:西安邮电大学SOC组 Date:2013.10.30 摘要:若你在读不进你的库,即在你的运行报告中总是有:warning:Can?t read link_library file …your_library.db?,这边文档会对你有一定的帮助
逻辑综合环境 启动文件 启动文件用来指定综合工具所需要的一些初始化信息。DC使用名为“.synopsys_dc.setup”的启动文件(位置:inst_dir/admin/setup/.synopsys_dc.setup)。启动时,DC会以下述顺序搜索并装载相应目录下的启动文件: DC安装目录($DC_PATH/admin/setup) 用户主目录 工具启动目录 注意:后装载的启动文件中的设置将覆盖先装载的启动文件中的相同设置 本文档重在讲述怎么设置工具启动目录 1、把inst_dir/admin/setup/.synopsys_dc.setup文件拷贝到你DC脚本目录下(也就是和你 脚本在同个目录下) 2、在.synopsys_dc.setup文件的第92行,即set link_force_case “check_reference”命令下 修改内容如下: A、set lib_path /library/smic18/feview~2/version2.2(注:lib_path为你smic18库安装 目录,不同于DC安装目录) B、set link_library [list * $lib_path/STD/Synopsys/smic18_ss.db \ $lib_path/IO/Synopsys/smic18IO_line_ss.db \ $lib_path/IO/Synopsys/smic18IO_stagger_ss.db ] C、set search_path [list . ${synopsys_root}/libraries/syn ${synopsys_root}/dw/syn_ver \ ${synopsys_root}/dw/sim_ver \ $lib_path/STD/Synopsys $lib_path/IO/Synopsys ] D、set target_library [list $lib_path/STD/Synopsys/smic18_ss.db \ $lib_path/IO/Synopsys/smic18IO_line_ss.db \ $lib_path/IO/Synopsys/smic18IO_stagger_ss.db ] E、set synthetic_library “” set command_log_file “./command.log” set designer “zjw” set company “soc of xupt”
Samkoon远程控制(AK-R触摸屏)功能操作说明 一、概述 远程控制主要用于HMI与PLC的1:1串口连接,支持RS232/422/485,然后将单一设备引入工厂系统,实现物联网及 广域网的控制。其原理是将HMI串口连接到远程控制器的COM1,PLC串口连接到远程控制器的COM2口,原理图如下: 二、远程PC(或手机APP)监控功能 1、打开“RemoteHMI”软件,双击“COM配置”,配置远程控制 器(或HMI选择远程客户端)参数,配置远程控制器连接的 PLC及参数,配置IP参数(客户端在HMI参数设置里配置), 指定远程控制器的IP,添加到指定的WIFI(如更换WIFI, 需要在此指定配置后下载)
2、新建画面,可添加连接设备或PLC的相关变量进行监控和控 制操作,如下图: 3、然后,找到RemoteHMI安装后的位置,点击打开“”文件, 这样PC才能通过服务器远程监控数据,如下图:
4、打开浏览器,输入:,如没有用户,请先注册用户再行登录, 如下图: 5、登录后,添加远程控制器(AK-HMI则是背后的SN序列号) 的SN,一般远程控制器正上部会贴有“RG+12位数字”,输 入后,在远程控制器上插入U盘,点击获取验证码,正常情 况下,会听到“滴”的一声,说明获取验证码成功,拔出U 盘,插入电脑,打开U盘里“”文件,提取验证码,输入到 浏览器,确定添加设备成功,如下图:
浏览器添加设备 提取U盘的验证码 6、下载对应的HMI组态工程项目到HMI,PLC程序到PLC,一 切配置成功,我们就可以通过PC打开“pcAppsys”或者手 机APP打开“HMI Client”远程监控PLC的数据了,如下图:
看到论坛有很多新手在问示波器怎么用,苦苦寻找示波器的教程.....以前用的大多是那种很大台笨重的模拟示波十M的价格都要好几千,小弟我也买不起,所以至今是只见过猪走路,没吃过猪肉。现在都是数字时代了,现0M的不到两千MB可买得一台了,小巧、彩色、而且可说像傻瓜式的,操作非常方便面,只需测量时按下上面了。 其实示波器在实际维修运用中,用得最多的就是测量晶阵、时钟频率、检修PWM电路及一些关键信号的捕捉,今天闲来没事就简单给大家演示一下示波器实际维修的运用及所测到的波形。 主演:安泰信ADS1102C 配角:我是刚来的 首先先请主演先登场吧 第一:检修不触发故障主板时,可以用示波器测32.768和25M(NF的板)晶振是否起振,非常直观,非常准确,万用表测晶振的两脚的压差不是也可以判断其好坏吗?没错,但是我要告诉你你只对了一半,有压差只能初步判也经常碰到有压差但不起振的故障,在没示波器下最好的方法就是代换一个。但如果我们有示波器,测其晶振两且下面标有对应的频率数值没有偏移,那么晶振肯定是好的。如图为实测32.768的波形
第二:在检修能上电不亮机故障时,首先就是测量主板各大供电是否正常,而如今的主板的供电方式大多彩用了来检测PWM控制电路是否正常工作,也是比万用表更准确更直观,正常工作时的波形为脉冲方波。如:如图为方波,表明CPU电路正常工作
表明内存供电电路正常
桥供电正常
第三:对于主板不亮故障,如以上测完主板供电都正常情况下,就要检测主板各时钟是否正常了。这时示波器的常准确的测出该点的时钟频率的数值,正常为一个正弦波。万用表测也行,一般33M为1.6V左右,66M为0.6左右,只是个大概判断,当然没示波器来的准确。 如图为实测的33M频率波形(测量点可用打值卡上测,或在PCI槽B16测到)