文档库 最新最全的文档下载
当前位置:文档库 › 数字钟的设计与仿真

数字钟的设计与仿真

数字钟的设计与仿真
数字钟的设计与仿真

目录

摘要 (3)

前言 (4)

第一章理论分析

1.1 设计方案 (5)

1.2 设计目的 (5)

1.3 设计指标 (6)

1.4 工作原理及其组成框图 (6)

第二章系统设计

2.1 多谐振荡器 (8)

2.2 计数器 (10)

2.3 六十进制电路 (12)

2.4 译码与LED显示器 (13)

2.5 校时电路 (14)

2.6 电子时钟原理图 (15)

2.7 仿真与检测 (16)

2.8 部分元器件芯片结构图 (18)

2.9 误差分析 (19)

第三章小结

心得体会 (20)

致谢 (21)

参考文献 (22)

摘要

时钟,自从它发明的那天起,就成为人类的朋友,但随着时间的推移,科学技术的不断发展,人们对时间计量的精度要求越来越高,应用越来越广。怎样让时钟更好的为人民服务,怎样让我们的老朋友焕发青春呢?这就要求人们不断设计出新型时钟。在这次的毕业设计中,针对一系列问题,设计了如下电子钟。

本系统由555多谐振荡器,分频器,计数器,译码器,LED显示器和校时电路组成,采用了CMOS系列(双列直插式)中小规模集成芯片。总体方案手机由主题电路和扩展电路两大分组成。

其中主体电路完成数字钟的基本功能,扩展电路完成数字钟的扩展功能,进行了各单元设计,总体调试。

关键词:555多谐振荡器;分频器;计数器;译码器;LED显示器

前言

20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。数字钟已成为人们日常生活中:必不可少的必需品,广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点,因此在许多电子设备中被广泛使用。

电子钟是人们日常生活中常用的计时工具,而数字式电子钟又有其体积小、重量轻、走时准确、结构简单、耗电量少等优点而在生活中被广泛应用,因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。

本次设计以数字电子为主,分别对1S时钟信号源、秒计时显示、分计时显示、小时计时显示、整点报时及校时电路进行设计,然后将它们组合,来完成时、分、秒的显示并且有走时校准的功能。并通过本次设计加深对数字电子技术的理解以及更熟练使用计数器、触发器和各种逻辑门电路的能力。电路主要使用集成计数器,例如CD4060、CD4518,译码集成电路,例如CD4511,LED数码管及各种门电路和基本的触发器等,电路使用5号电池共电,很适合在日常生活中使用。

第一章理论分析

1.1设计方案

我们小组设计的是可校时、报时电子时钟,本来的目标是设计一个时间可定的定时开关,后来觉得难度比较大,所以把其简化为现在这个题目。目标是实现一个可以设置2个按键,分别可以给电路的时位和分位增加时间,从而实现时间可以调节的功能(秒位调时没有多大意义)。

方案:首先要实现一个24小时制的可自动清零时钟。在此基础上添加校时功能。1.先由石英晶体振荡器及分频电路构成的秒脉冲信号发生电路或555多谐振荡电路构成输出固定频率的脉冲信号。

2.用多片芯片构成秒,分,时电路。

3.各输出分别接秒,分,时显示器

4.在时钟信号输出的基础上,用逻辑电路构成报时电路,当整点与半点的(52,54,56,58,59秒)分别输出高点平。

5.在分与时的74160的输入信号端并入开关信号,实现手动加时。

1.2设计目的

数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,节省了电能。因此得到了广泛的使用。数字钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。通过设计加深对刚刚学习了的数字电子技术的认识。

我们此次设计数字钟是为了了解数字钟的原理,加深对我们所学知识的了解和认识、以及知识迁移的能力。而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。且由于数字钟包括组合逻辑电路和时叙电路,通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法,以及各种电路之间的怎样联系起来的。

1. 熟悉集成电路的引脚安排。

2. 掌握数字钟的设计方法和和计数器相互级联的方法。

3. 掌握各芯片的逻辑功能及使用方法。进一步掌握数字系统的设计和数字系统功能的测试方法

4. 进一步掌握数字系统的制作和布线方法,了解面包板结构及其接线方法。

4. 了解数字钟的组成及工作原理。

5. 熟悉数字钟的设计与制作。

1.3设计指标

1. 设计功能

1)时间以24小时为一个周期;

2)显示时、分、秒;

3)有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;2.设计要求

1)画出电路原理图(或仿真电路图);

2)元器件及参数选择;

3.制作要求自行装配和调试,并能发现问题和解决问题。

4.编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。

1.4工作原理及其组成框图

数字电子钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和

报时功能。因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器、校时电路、报时电路和振荡器组成。主电路系统由秒信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计时器,可实现对一天24小时的累计。译码显示电路将“时”、“分”、“秒”计数器的输出状态用七段显示译码器译码,通过七段显示器显示出来。整点报时电路时根据计时系统的输出状态产生一脉冲信号,然后去触发一音频发生器实现报时。校时电路时用来对“时”、“分”、“秒”显示数字进行校对调整。

数字电子钟一般由六个部分组成,其中振荡器和分频器组成标准的秒信号发生器,由不同进制的计数器、译码器和显示器组成计时系统。秒信号送入计时器进行计数,把累计的结果以“时”、“分”、“秒”的十进制数字显示出来。“时”显示由二十四进制计数器、译码器和显示器构成,“分”、“秒”显示分别由六十进制计数器、译码器和显示器构成。数字电子钟逻辑框图如图所示。它由555多谐振荡器,分频器,计数器,译码显示器和校正电路组成。

图 1

第二章系统设计

2.1多谐振荡器

振荡器是计时器的核心,主要用来产生时间标准信号,也叫基信号。数字钟的精确主要取决于时间标准信号的频率及稳定度。振荡器的频率越高,计时的精度就越高,但耗电量将增大。石英晶体振荡器的特点是振荡频率准确、电路结构

简单、频率调整。它还具有压电效应,在晶体某一方向加一电场,则在与此垂直的方向产生机械振动,有了机械振动,就会在相应的垂直面上产生电场,从而使机械振动和电场互为因果,这种循环过程一直持续到晶体的机械强度限制时,才达到最后稳定,这种压电谐的频率即为晶体振荡器的固有频率如果精度要求不高,可采用集成电路555定时器与RC组成的多谐振荡器。

(1)石英晶体振荡器

常见石英晶体振荡器的频率fo=32768Hz.振荡器主要由石英晶体、电阻、电容和反相器组成。其电路及参数如图所示:

图 2

如图所示为电子手表集成电路(如5C 702)中的晶体振荡器电路,常取日振的频率为32768HZ,因其内部有15级2分频集成电路,所以输出端正好可得到1HZ的标准脉冲。

Rf为反馈电阻(10-100兆欧姆),反馈电阻的作用是为CMOS反相器提供偏置,使其工作在放大状态。

C1是频率微调电容,改变C1可对振荡器频率作微量调整。C1采用22PF半可调电容。C2是温度特性校正用的电容,采用20PF。电容C1 C2与晶体共同构

成3pi型网络,完成对振荡频率的控制,并提供必要的180度相移。

(2)集成电路定时器555与RC组成的多谐振荡器,如下图所示:

图 3

这里选用555构成的多谐振荡器产生固定频率的秒脉冲信号,设振荡器的频率fo=103Hz。图中10 kΩ电位器可微调振荡器的输出频率fo。计算参数如下:

T=t1+t2=1ms t1=0.7(R1+Rp+R2)C1

t2=0.7R2C1

若选定占空比为q=0.6,可得

Q=t1/(t1=t2)=0.6 t1=0.6*1ms=0.6ms

t2=T-t1=1ms-0.6ms=0.4ms

取电容C=0.1uF,则

R2=t2/0.7C1=5.714kΩ

取标称值R2=5.1 kΩ

R1+Rp=t1/0.7C1-r2=17.143 kΩ

取R1=2 kΩRp=10 kΩ

如果精度要求不高也可以采用由集成逻辑门与RC组成的时钟源振荡器.这里设振荡频率f=103HZ

附555定时器的功能表

附:555定时器的功能表

输入输出

阀值输入(v11)触发输入(v12)复位(RD)输出(VO)发电管T

× × 0 0 导通

<2/3VCC <1/3VCC 1 1 截止

>2/3VCC >1/3VCC 1 0 导通

<2/3VCC >1/3VCC 1 不变不变

2.2计数器

各功能块电路图

数字钟从原理上讲是一种典型的数字电路,可以由许多中小规模集成电路组成,所以可以分成许多独立的电路。

(一)六进制电路

由74HC390、7400、数码管与4511组成,电路如下图图4所示:

图 4

(二)十进制电路

由74HC390、7400、数码管与4511组成,电路如下图图6所示:

图5

2.3六十进制电路

因为电子钟由秒,分,时组成。分别为60进制和24进制。采用一片4511接成60进制,4511的第一组4位二进制接成秒的个位,另一位接成秒的十位,分也为“60”进制,时为“24”进制。这两种进制的次序和二进制完全相同,只是模数不是2的整幂。采用反馈置零法清零,先按二进制计数器串联起来构成计数器,当计数状态达到所需的脉冲模值后,经过电路译码,反馈,产生复位脉冲将计数器清零,然后重新开始进行下一个循环。

由两个数码管、两4511、一个74HC390与一个7400芯片组成,电路如下图所示。(四)双六十进制电路

由2个六十进制连接而成,把分个位的输入信号与秒十位的Qc相连,使其产生进位,当秒的十位达到6的时候,向分个位进一。电路图如附图1。

(五)时间计数电路

由1个十二进制电路、2个六十进制电路组成,因上面已有一个双六十电路,只要把它与十二进制电路相连即可

2.4译码与LED显示

译码是把给定的代码进行翻译,将时分秒计数器输出的四位二进制代码翻译为相应的十进制数,并通过LED显示器显示,通常LED显示器与译码器是配套使用的。六个74LS47集成电路构成数字钟的七段数码显示管显示译码/驱动器。74LS47

七段显示译码器输出高电平有效,将8421BCD码译成七段(a、b、c、d、e、f、g)输出,用以直接驱动LED七段数码显示对应的十进制数。74LS248的显示功能:

显示功能见功能表的上半部分。[DCBA]是二进制码输入,要正确的执行显示功能,有关的功能端必须接合适的逻辑电平,这些功能端的作用随后介绍。对于0~9输入,[DCBA]相当BCD8421码。当超过9以后,译码器仍然有字型输出,具体见图六。

当[DCBA]=1111时,数码管熄灭。实验时要在笔划段电极串联电阻,以保护LED 数码管。

74LS47显示字型与输入的对应关系

六个LED七段数码显示管利用不同发光段组合的方式显示不同数码,都采用+5V 电源作为每段发光二极管的驱动电源。需要发光的段为高电平,不发光的段为低电平。设计中采用共阴极数码管,每段发光二极管的正向降压,随显示光的颜色有所不同,通常约2V~3V,点亮电流在5~10mA。六个LED七段数码显示管分别显示秒个位、十位;分个位、十位;时个位、十位的计数十进制数

电路图如下图所示:

2.5校时电路

由74CH51D、74HC00D与电阻组成,校正电路有分校正和时校正两部分,电路如下图。

图 7

2.6电子时钟原理图

总接线元件布局

整个数字钟由时间计数电路、555多谐振荡电路、校正电路组成。其中以校正电路代替时间计数电路中的时、分、秒之间的进位,当校时电路处于正常输入信号时,时间计数电路正常计时,但当分校正时,其不会产生向时进位,而分与时的校位是分开的,而校正电路也是一个独立的电路。电路的信号输入由555多谐振荡器电路产生,并输入各电路。

2.7数码管的仿真与检测:

用万用表检测,调到欧姆档,500欧姆红表笔接数码管3脚或6脚,黑表笔接数码管其他各个管脚,依次检测,若数码管各段都正常发光,则该数码管正常。十进制测法仿真如下:

利用一个六进制电路和十进制连接成一个六十进制电路,电路可从0—59显示,见下图所示:

2.8部分元器件芯片结构图如下所示:

2.9误差分析

系统在运行时有一定的误差,其原因是振荡器的特点所决定的,同时与片的内部结构有关。有时会出现跳字现象,解决这些误差的方法是提高振荡器的稳定性及其使用精密度比较高的电容或改变元器件参数等。

第三章小结

心得体会

通过这次对数字钟的设计,让我受益非浅。首先深入的了解了设计电路的流程。当我们接手一个课题或项目的时候,不是马上就动手搞。而是应该先进行可行性论证。首先提出几套方案,然后对各个方案进行对比。即要在性能上面比较,又要在是否经济合算上面对比。假如我们设计了一个性能很好的产品。但是其中的某个元器件却很难买到,或者价格很高。那么,我们就应该要考虑是否采用这个设计的方案。最后找出最适合的设计方案。

同时加深了对芯片的了解及其应用。将书本上面学到的知识和实际应用相结合,我们会发现比如说一个加法计数器的基本功能是实现两个二进制数的加法运算,但同时,我们也可以将它作为一个分频器来使用。对于芯片的使用,我们应该在了解它的各项功能的前提条件下,灵活巧妙地运用。具体的芯片资料和图片我们通过查阅相关的书籍,在网上能够很方便的查找。

通过这次学习,让我对各种电路也都有了大概的了解,但是由于时间方面的原因,我们没有完全按照最初的要求严格来做,因而还有很多问题我们没有发现,也还有很多知识我们没有接触到。这对我们来说也是一个遗憾把。所以说,坐而言不如立而行,对于这些电路还是应该自己动手实际操作才会有深刻理解总之,这次设计,虽然,设计方面有很多欠缺,需要去改进、去研究,也还要学习。不过也知道了怎样去写论文、去采集哪方面的资料。

总的感觉是:有收获。以前上课都是上一些最基本的东西,而现在却可以将以前学的东西作出有实际价值的东西。在这个过程中,我的确学得到很多在书本上学不到的东西,如:如何利用现有的元件组装得到设计要求,如何找到错误的原因,如何利用计算机来画图等等。但也遇到了不少的挫折,有时遇到了一个错误怎么找也找不到原因所在,找了老半天结果却是芯片的管脚接错了,有时更是忘接电源了。在学习中的小问题在课堂上不可能犯,在实际的过程中却很有可能犯。特别是在接电路时,一不小心就会犯错,而且很不容易检查出来。但现在回过头来看,还是挺有成就感的。

简易数字钟设计(已仿真)

简易数字钟设计 摘 要 本文针对简易数字钟的设计要求,提出了两种整体设计方案,在比较两个方案的优缺点后,选择了其中较优的一个方案,进行由上而下层次化的设计,先定义和规定各个模块的结构,再对模块内部进行详细设计。详细设计的时候又根据可采用的芯片,分析各芯片是否适合本次设计,选择较合适的芯片进行设计, 最后将设计好的模块组合调试,并最终在EWB 下仿真通过。 关键词 数字钟,EWB ,74LS160,总线,三态门,子电路 一、引言:所谓数字钟,是指利用电子电路构成的计时器。相对机械钟而言,数字钟能达到准确计时,并显示小时、分、秒,同时能对该钟进行调整。在此基础上,还能够实现整点报时,定时报闹等功能。 设计过程采用系统设计的方法,先分析任务,得到系统要求,然后进行总体设计,划分子系统,然后进行详细设计,决定各个功能子系统中的内部电路,最后进行测试。 二、任务分析:能按时钟功能进行小时、分钟、秒计时,并显示时间及调整时间,能整点报时,定点报时,使用4个数码管,能切换显示。 总体设计 本阶段的任务是根据任务要求进行模块划分,提出方案,并进行比较分析,最终找到较优的方案。 方案一、采用异步电路,数据选择器 将时钟信号输给秒模块,秒模块的进位输给分模块,分模块进位输入给时模块,切换的时候使用2选1数据选择器进行切换,电路框图如下: 该方案的优点是模块内部简单,基本不需要额外的电路,但缺点也很明显,该方案结构不清晰,模块间关系混乱,模块外还需使用较多门电路,不利于功能扩充,且使用了异步电路,计数在59的时候,高一级马上进位,故本次设计不采用此方案。 方案二、采用同步电路,总线结构 时钟信号分别加到各个模块,各个模块功能相对独立,框图如下: 显示 切换 秒钟 分钟 小时 控制 1Hz 脉冲信号 闹钟

数字钟的设计与制作过程

数字钟的设计与制作 一、设计指标 1. 显示时、分、秒。 2. 可以24小时制或12小时制。 3. 具有校时功能,可以对小时和分单独校时,对分校时的时候,停止分向小时进位。校时时钟源可以手动输入或借 用电路中的时钟。 4. 具有正点报时功能,正点前10秒开始,蜂鸣器1秒响1秒停地响5次。(选做) 5. 为了保证计时准确、稳定,由晶体振荡器提供标准时间的基准信号。 二、设计要求 1. 画出总体设计框图,以说明数字钟由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输 路径、方向和频率变化,并以文字对原理作辅助说明。 2. 设计各个功能模块的电路图,加上原理说明。 3. 选择合适的元器件,并选择合适的输入信号和输出方式,在面包板上接线验证、调试各个功能模块的电路。在确 保电路正确性的同时,输入信号和输出方式要便于电路的测试和故障排除。(也可选用Mutisim仿真) 4. 在验证各个功能模块基础上,对整个电路的元器件和布线,进行合理布局,进行整个数字钟电路的接线调试。 三、制作要求 自行在面包板上装配和调试电路,能根据原理、现象和测量的数据检查和发现问题,并加以解决。 四、设计报告要求 1. 格式要求(见附录1) 2. 内容要求 ①设计指标。 ②画出设计的原理框图,并要求说明该框图的工作过程及每个模块的功能。 ③列出元器件清单,并画出管脚分配图和芯片引脚图。 ④画出各功能模块的电路图,加上原理说明(如2、5进制到10进制转换,10进制到6进制转换的原理,个位到 十位的进位信号选择和变换等)。 ⑥画出总布局接线图(集成块按实际布局位置画,关键的连接应单独画出,计数器到译码器的数据线、译码器到数 码管的数据线可以简化画法,但集成块的引脚须按实际位置画,并注明名称)。 ⑦数字钟的运行结果和使用说明。 ⑧设计总结:设计过程中遇到的问题及解决办法;设计过程中的心得体会;对课程设计的内容、方式等提出建议。 五、仪器与工具 1. 直流电源1台。 2. 四连面包板1块。 3. 数字示波器(每两人1台) 4. 万用表(每班2只)。 5. 镊子1把。 6. 线剥钳1把。 7. 斜口钳1把。

多功能数字钟的VHDL设计

毕业设计论文 多功能数字钟的VHDL设计 系 xxxxxxxxxxxxxxxxx 专业 xxxxxxxxxxxxx 学号 xxxxxxxxxxx 姓名 xxxxxxx 班级 xxxxxxxxxxxx 指导老师 xxxxxxxxxx 职称 指导老师职称 毕业设计时间 2009年11月——2010年1月

摘要:应用VHDL语言编程,进行了多功能数字钟的设计,并在MAX PLUSⅡ环境下通过了编译、仿真、调试。 关键词:VHDL;EDA;数字钟;仿真图 0.引言 随着科学技术的迅猛发展,电子工业界经历了巨大的飞跃。集成电路的设计正朝着速度快、性能高、容量大、体积小和微功耗的方向发展。基于这种情况,可编程逻辑器件的出现和发展大大改变了传统的系统设计方法。可编程逻辑器件和相应的设计技术体现在三个主要方面:一是可编程逻辑器件的芯片技术;二是适用于可逻辑编程器件的硬件编程技术,三是可编程逻辑器件设计的EDA开发工具,它主要用来进行可编程逻辑器件应用的具体实现。在本实验中采用了集成度较高的FPGA 可编程逻辑器件, 选用了VHDL硬件描述语言和MAX + p lusⅡ开发软件。VHDL硬件描述语言在电子设计自动化( EDA)中扮演着重要的角色。由于采用了具有多层次描述系统硬件功能的能力的“自顶向下”( Top - Down)和基于库(L ibrary - Based)的全新设计方法,它使设计师们摆脱了大量的辅助设计工作,而把精力集中于创造性的方案与概念构思上,用新的思路来发掘硬件设备的潜力,从而极大地提高了设计效率,缩短 了产品的研制周期。MAX + p lusⅡ是集成了编辑器、仿真工具、检查/分析工具和优化/综合工具的这些所有开发工具的一种集成的开发环境,通过该开发环境能够很方便的检验设计的仿真结果以及建立起与可编程逻辑器件的管脚之间对应的关系。 1. EDA简介 20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL 完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。 这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。

数字钟设计(带仿真和连接图)

- 数字电子技术课程设计报告 题目:数字钟的设计与制作 : 专业:电气本一班 学号:姓名: 指导教师: 时间: - —

一、设计内容 数字钟设计 … 技术指标: (1)时间以24小时为周期; (2能够显示时,分,秒; (3)有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; (4)计时过程具有报时功能,当时间到达整点前5秒进行蜂鸣报时; (5)为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号. ~ 二、设计时间: 第十五、十六周 三、设计要求: (1)画出设计的电路原理图; $ (2) 选择好元器件及给出参数,在原理图中反应出来; (3)并用仿真软件进行模拟电路工作情况; (4)编写课程报告。

! 摘要 数字钟实际上是一个对标准频率(1Hz)进行计数的计数电路。振荡器产生的时钟信号经过分频器形成秒脉冲信号,秒脉冲信号输入计数器进行计数,并把累计结果以“时”、“分”、“秒”的数字显示出来。秒计数器电路计满60后触发分计数器电路,分计数器电路计满60后触发时计数器电路,当计满24小时后又开始下一轮的循环计数。一般由振荡器、分频器、计数器、译码器、数码显示器等几部分组成。 振荡电路:主要用来产生时间标准信号,因为时钟的精度主要取决于时间标准信号的频率及稳定度,所以采用石英晶体振荡器。 分频器:因为振荡器产生的标准信号频率很高,要是要得到“秒”信号,需一定级数的分频器进行分频。 计数器:有了“秒”信号,则可以根据60秒为1分,24小时为1天的进制,分别设定“时”、“分”、“秒”的计数器,分别为60进制,60进制,24进制计数器,并输出一分,一小时,一天的进位信号。 译码显示:将“时”“分”“秒”显示出来。将计数器输入状态,输入到译码器,产生驱动数码显示器信号,呈现出对应的进位数字字型。 由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路可以对分和时进行校时。另外,计时过程要具有报时功能,当时间到达整点前10秒开始,蜂鸣器1秒响1秒停地响5次。 } 为了使数字钟使用方便,在设计上使用了一个变压器和一个整流桥来实现数字钟电能的输入,使得可以方便地直接插入220V的交流电就可以正常地使用了。关键词数字钟振荡计数校正报时

多功能数字钟设计

摘要 本实验是利用QuartusII 7.0软件设计一个多功能数字钟,进行试验设计和仿真调试,实现了计时,校时,校分,清零,保持和整点报时等多种基本功能,并下载到SmartSOPC实验系统中进行调试和验证。此外还添加了显示星期,闹钟设定等附加功能,使得设计的数字钟的功能更加完善。 关键字:QuartusII、数字钟、多功能、仿真 Abstract This experiment is to design a digital clock which is based on Quartus software and in which many basic functions like time-counting,hour-correcting,minute-correcting,reset,time-holding and belling on the hour. And then validated the design on the experimental board. In addition, additional functions like displaying and resetting the week and setting alarm make this digital clock a perfect one. Key words: Quartus digital-clock multi-function simulate

目录 一.设计要求 (4) 二.工作原理 (4) 三.各模块说明 (5) 1)分频模块 (5) 2)计时模块 (7) 3)动态显示模块 (9) 4)校分与校时模块 (10) 5)清零模块 (11) 6)保持模块 (12) 7)报时模块 (12) 四.扩展模块 (12) 1)星期模块 (12) 2)闹钟模块 (13) 五.总电路的形成 (16) 六.调试、编程下载 (17) 七.实验感想 (17) 八.参考文献 (20)

多功能数字时钟设计

课程设计报告 学生姓名:刘佳 学 号:2017307010102 学院:电气工程学院 班级:通信171 题目:多功能数字时钟设计 指导教师:刘晓峰职称: 高级实验师指导教师:杨修宇职称: 实验师 2018 年 12 月 28 日

目录 1. 设计要求 (3) 2. 设计原理及框图 (3) 2.1 模块组成 (3) 3. 器件说明 (4) 4. 设计过程 (8) 4.1显示电路模块设计 (8) 4.2时钟脉冲电路模块设计 (9) 4.3计时模块电路设计 (10) 4.4计时校时控制模块电路设计 (11) 4.5整点报时与定点报时模块电路设计 (12) 5. 仿真调试过程 (13) 6. 收音机原理及焊接调试 (14) 6.1收音机原理 (14) 6.2收音机焊接工艺要求 (16) 6.3收音机调试过程 (16) 7. 设计体会及收获 (17)

1. 设计要求 (1)以24小时为一个计时周期,稳定的显示时、分、秒。 (2)当电路发生走时误差时,可以对所设计的时钟进行校时。 (3)电路有整点报时功能。报时声响为四低一高,最后一响高音正好为整点。 (4)电路具有闹钟功能,当闹钟所设定时间与时钟计时相同时,发出提示音, 时长为一分钟。 2. 设计原理及框图 2.1 模块组成 多功能数字时钟由时钟脉冲电路模块、秒计时模块、分计时模块、时计时模块、显示模块、计时校时控制模块、定点报时模块与整点报时模块组。如图1所示。 图1 多功能数字时钟原理框图 多功能数字时钟由时钟脉冲电路模块、秒计时模块、分计时模块、时计时模块、显示模块、计时校时控制模块、定点报时模块与整点报时模块组成。时钟脉冲电路模块由振荡电路与分频电路组成,为数字时钟提供秒脉冲信号、定点整点报时信号以及调试信号。计时电路包括“秒”计时、“分”计时与“时”计时电

数字时钟的设计与仿真

湖北民族学院 课程设计报告 数字时钟设计与仿真 课程:电子线路课程设计 专业:电子信息科学与技术 班级: 0312409 学号: 031240910 学生姓名:谢加龙 指导教师:易金桥 2014年 06月 21日

信息工程学院课程设计任务书 2014-06-21

摘要 基于单片机AT89c51设计而成的简易数字电子时钟,其主要功能皆由对单片机编程即由软件完成,外围硬件电路只包括报时电路、键盘输入电路和显示电路三个模块。具有外围硬件电路简单、运行功能可靠的优点。 关键词:单片机时钟键盘输入显示

目录 1、系统设计要求 (1) 1.1 基本功能 (1) 1.2扩展功能 (1) 2、硬件设计 (2) 2.1系统设计方案选择 (2) 2.2系统原理框图 (2) 2.3各单元的功能描述 (2) 2.4电路连接图 (2) 2.5元器件清单列表 (2) 2.6所用芯片的管脚图 (2) 3、软件设计 (3) 3.1主程序的流程图 (3) 3.2键盘扫描程序流程图 (3) 3.3发声程序流程图 (3) 3.4总程序 (3) 4、调试 (4) 4.1仿真调试 (4) 4.2硬件调试 (4) 5、总结 (5) 参考文献 (6)

1、系统设计要求 1.1 基本功能 (1)、要求准确显示“时”、“分”、“秒”,24 小时制; (2)、具有整点报时功能,在每小时59 分51 秒、53 秒、55 秒、57 秒发出低音,59秒整发出高音; (3)、系统工作符合一般时钟要求。 1.2扩展功能: (1)、具有校时功能,用户可修改“时”、“分”,且互不影响; (2)、可切换12 小时制和24 小时制。

智能电子钟设计与制作

小型智能系统设计与制作 学习情境一智能电子钟设计与制作 一、教学引导 学习目标: 1. 通过查阅资料,能分析电子钟的功能与技术要求,确定电子钟的基本结构; 2. 能根据功能与技术要求,进行显示器、键盘、时钟芯片等器件的选用; 3. 能根据小组成员的实际情况,合理分配学习性工作任务,制订实施计划; 4. 会制定任务设计方案及程序设计结构; 5. 会设计显示、键盘、时钟芯片等各种接口电路; 6. 能使用软件设计、仿真电路并进行PCB制作。 7. 能够整理设计文档,编写智能电子钟的使用说明书。 学习内容 1.接受智能电子钟的设计制作任务,阅读任务书 2.收集资料,了解相关知识 3.制订设计方案 4.显示、键盘等接口电路设计和PCB板设计、制作 5.智能电子钟硬件安装与调试 6.智能电子钟软件设计与调试 7.智能电子钟功能、技术指标测试 8.编写智能电子钟的使用说明书 9.文档资料归档 学习任务 1.完成智能电子钟的方案设计 2.完成智能电子钟的设计与制作 3.完成技术文档的编写 4.完成学习过程的自我评价表填写 二、任务分析 学习要求:在这一环节要求学生分组并结合一下引导问题查阅资料,在充分了解智能电子钟的种类以及各种智能电子钟的技术要求的情况下,确定本次设计的智能电子钟的用途,完成任务分析表、填写过程记录表。 1.任务书 任务:设计并制作一款智能电子钟。 基本要求: (1)以24h计时方式工作; (2)用数码管显示时间和日期; (3)通过按键可以选择显示内容、修改时间; (4)具有校时功能; (5)具有整点报时功能; (6)时间误差:≤0.02%。 可选要求: (1)可以设置闹钟时刻; (2)闹钟时刻到后,若不关闭闹铃,可以间隔5分钟闹一次;

数字钟的设计与制作

数字钟的设计与制作 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。 从有利于学习的角度考虑,这里主要介绍以中小规模集成电路和PLD器件设计数字钟的方法。 1 数字钟的基本组成及工作原理 1.1数字钟的构成 数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。图1.1所示为数字钟的一般构成框图。

图1.1 数字钟的组成框图 ⑴晶体振荡器电路 晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。 ⑵分频器电路 分频器电路将32768Hz的高频方波信号经32768()次分频后得到1Hz的方波信号供秒计数器进行计数。分频器实际上也就是计数器。 ⑶时间计数器电路 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为12进制计数器。 ⑷译码驱动电路 译码驱动电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。 ⑸数码管 数码管通常有发光二极管(LED)数码管和液晶(LCD)数码管,本设计提供的为LED数码管。 1.2数字钟的工作原理 1)晶体振荡器电路 晶体振荡器是构成数字式时钟的核心,它保证了时钟的走时准确及稳定。 一般输出为方波的数字式晶体振荡器电路通常有两类,一类是用TTL门电路构成;另一类是通过CMOS非门构成的电路,如图1.2所示,从图上可以看出其结构非常简单。该电路广泛使用于各种需要频率稳定及准确的数字电路,如数字钟、电子计算机、数字通信电路等。

数电课程设计多功能数字钟的电路设计

课程设计任务书 学生姓名: XXX 专业班级: 指导教师:工作单位: 题目: 多功能数字钟电路设计 初始条件:74LS390,74LS48,数码显示器BS202各6片,74LS00 3片,74LS04,74LS08各 1片,电阻若干,电容,开关各2个,蜂鸣器1个,导线若干。 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 指导教师签名:年月日 系主任(或责任教师)签名:年月日 多功能数字钟电路设计 摘要 (1) Abstract (2) 1系统原理框图 (3) 2方案设计与论证 (4)

2.1时间脉冲产生电路 (4) 2.2分频器电路 (6) 2.3时间计数器电路 (7) 2.4译码驱动及显示单元电路 (8) 2.5校时电路 (8) 2.6报时电路 (10) 3单元电路的设计 (12) 3.1时间脉冲产生电路的设计 (12) 3.2计数电路的设计 (12) 3.2.1 60进制计数器的设计 (12) 3.2.2 24进制计数器的设计 (13) 3.3译码及驱动显示电路 (14) 3.4 校时电路的设计 (14) 3.5 报时电路 (16) 3.6电路总图 (17) 4仿真结果及分析 (18) 4.1时钟结果仿真 (18) 4.2 秒钟个位时序图 (18) 4.3报时电路时序图 (19) 4.4测试结果分析 (19) 5心得与体会 (20) 6参考文献 (21) 附录1原件清单 (22) 附录2部分芯片引脚图与功能表 (23) 74HC390引脚图与功能表 (23)

多功能数字钟电路设计指导书及仿真图,绝对能用

课题一数字电子钟逻辑电路设计 一、简述 数字电子钟是一种用数字显示秒、分、时、日的计时装置,与传统的机械钟相比,它具有走时准确,显示直观、无机械传动装置等优点,因而得到了广泛的应用。小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟。 数字电子钟的电路组成方框图如图所示。 图数字 电子钟框图 由图可见,数字电子钟由以下几部分组成:石英晶体振荡器和分频器组成的秒脉冲发生器;校时电路;六十进制秒、分计数器,二十四进制(或十二进制)计时计数器;秒、分、时的译码显示部分等。 二、设计任务和要求 用中、小规模集成电路设计一台能显示日、时、分、秒的数字电子钟,要求如下: 1.由晶振电路产生1Hz标准秒信号。 2.秒、分为00~59六十进制计数器。 3. 时为00~23二十四进制计数器。 4. 周显示从1~日为七进制计数器。 5. 可手动校时:能分别进行秒、分、时、日的校时。只要将开关置于手动位置,可分别对

秒、分、时、日进行手动脉冲输入调整或连续脉冲输入的校正。 6. 整点报时。整点报时电路要求在每个整点前呜叫五次低音(500Hz ),整点时再呜叫一次高音(1000Hz )。 三、可选用器材 1. 通用实验底板 2. 直流稳压电源 3. 集成电路:CD4060、74LS74、74LS161、74LS248及门电路 4. 晶振:32768 Hz 5. 电容:100μF/16V 、22pF 、3~22pF 之间 6. 电阻:200Ω、10K Ω、22M Ω 7. 电位器:Ω或Ω 8. 数显:共阴显示器LC5011-11 9. 开关:单次按键 10. 三极管:8050 11. 喇叭:1 W /4,8Ω 四、设计方案提示 根据设计任务和要求,对照数字电子钟的框图,可以分以下几部分进行模块化设计。 1. 秒脉冲发生器 脉冲发生器是数字钟的核心部分,它的精度和稳定度决定了数字钟的质量,通常用晶体振荡器发出的脉冲经过整形、分频获得1Hz 的秒脉冲。如晶振为32768 Hz ,通过15次二分频后可获得1Hz 的脉冲输出,电路图如图所示。 74LS74 1Hz 图 秒脉冲发生器

数字钟综合设计与制作

《数字系统与逻辑设计实验》实验报告题目数字钟电路设计与PCB图设计 学院:信息工程学院系电子信息工程 专业: 班级: 学号: 学生姓名: 指导教师:

递交日期:

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:□验证□综合■设计□创新实验日期:2018/1/6 实验成绩:“数字钟电路设计与PCB图设计”实验报告 一、实验目的: 1、综合应用数字电路知识; 2、学习使用protel进行电子电路的原理图设计、印制电路板设计; 3、了解电路板制作、安装、调试技能。 二、实验任务及要求: 任务:设计一个12小时或24小时制的数字钟,显示时、分、秒,有校时功能,可以分别对时及分进行单独校时,使其校正到准确时间。 要求:画出电路原理图,元器件及参数选择,PCB文件生成、3D实物图等。 三、实验原理及电路设计: 1、设计方案与模块框图 利用NE555构成自激多谐振荡器,输出一个频率为1024Hz的脉冲信号。因为数字钟需要的是1Hz的信号,所以需要进行分频处理。这里采用了1024分频,利用三片74LS161分别进行8分频、8分频和16分频,最终得到1Hz的脉冲信号。60秒为1分钟,所以需要一个60进制的计数器。这里还是使用74LS161,通过同步置数进行循环,秒计数每满60向分计数进1,然后自身清零。60分钟为1小时,所以分计数采用的方法和秒计数一样。当分计数和秒计数同时进位时,扬声器发声,即为整点报时。12小时制采用12进制计数器,24小时制采用24进制计数器,两种时制的切换可以通过单刀双掷开关完成。我们在秒进位和分进位处人为地产生一个上升沿,可以完成一次进位,达到校时的目的。时分秒的通过共阴极七段数码管来显示,数码管需要74LS48进行译码。

多功能数字钟的设计与实现

多功能数字钟的设计与实现一、实验目的 1.掌握数字钟的设计原理。 2.用微机实验平台实现数字钟。 3.分析比较微机实现的数字钟和其他方法实现的数字钟。 二、实验内容与要求 使用微机实验平台实现数字钟。 1.基本要求如下: 1) 24 小时制时间显示。 2) 3) 4)可以随时进行时间校对。 整点报时。 闹钟功能,要求设置起闹时间时,不影响时钟的正常走时。 2.提高要求 1) 2)校时时相应位闪烁。能够设置多个起闹点。 三、实验报告要求 1.设计目的和内容 2.总体设计 3.硬件设计:原理图(接线图)及简要说明 4.软件设计框图及程序清单 5.设计结果和体会(包括遇到的问题及解决的方法) 四、系统总体设计 根据设计要求,初步思路如下: 1)计时单元由定时/计数器8253的通道0 来实现。定时采用硬件计数和软件技术相结合的方式,即通过8253产生一定的定时时间,然后再利用软件进行计数,从而实现24小时制定时。8253定时时间到了之后产生中断信号,8253在中断服务程序中实现时、分、秒的累加。 2)时间显示采用实验平台上的6个LED数码管分别显示时、分、秒,采用动态扫描方式实现。 3)校时和闹铃定时通过键盘电路和单脉冲产生单元来输入。按键包括校时键、闹钟定时键、加1键和减1键等。

4) 报警声响用蜂鸣器产生,将蜂鸣器接到 8255 的一个端口,通过输出电平的高低来 控制蜂鸣器的发声。 系统硬件设计主要利用微机实验平台上的电路模块。硬件电路主要由键盘电路、 单脉冲产生单元、8253 定时计数器、8255 并行接口单元、8259 中断控制器、LED 显 示电路和蜂鸣器电路等等。系统的硬件电路设计框图如图 1 所示。 图 1 硬件电路框图 五、硬件设计 根据设计思路,硬件电路可通过实验平台上的一些功能模块电路组成,由于实验平台 上的各个功能模块已经设计好,用户在使用时只要设计模块间电路的连接,因此,硬件电 路的设计及实现相对简单。完整系统的硬件连接如图 2 所示。硬件电路由定时模块、按键 模块、数码管显示模块和蜂鸣器模块组成。 Q6 路 图 2 系统硬件电路图 微机系统 8253 8255 8259 数码管显示 电路 键盘电路 蜂鸣器电路 单脉冲发生 单元 单脉冲发 生单元 键盘电路 8255 PA0 PB0 PC 8253 OUT0 GATE0 Clk0 地址 CS1 译码 CS2 电路 CS3 CS4 数码管显示模块 8259 IRQ2 IRQ3 IRQ5 PC BUS 蜂鸣器 单脉冲发 生单元

基于Multisim的数字时钟设计

东北大学 课程设计报告 课程设计名称:数字电子技术课程设计 专题题目: 指导教师: 学生姓名:学号: 专业:计算机科学与技术班级: 设计日期: 2017 年7 月 3 日~ 2017 年7 月7日

目录 摘要 (3) Abstract (3) 第1章概述 (4) 1.1设计思路 (4) 1.2主要内容 (4) 第2章课程设计任务及要求 (5) 2.1 设计任务 (5) 2.2 设计要求 (5) 第3章系统设计 (6) 3.1 方案论证 (6) 3.2 系统设计 (6) 3.2.1 结构框图及说明 (6) 3.2.2 系统原理图及工作原理 (7) 3.3单元电路设计 (8) 3.3.1数字时钟秒脉冲信号的设计 (8) 3.3.2器件分析 (8) 3.3.3 计数器设计 (9) 3.3.4 计时电路设计 (11) 3.3.5 数字时钟电路设计 (12) 3.3.6 校时电路 (12) 3.3.7 整点报时 (13) 3.3.8 闹钟电路 (14) 第4章仿真调试 (16) 4.1时钟显示 (17) 4.1.1 时钟显示完整的00:00:00 (17) 4.1.2 时钟完整显示01:00:00 (17) 4.1.3 时钟完整显示23:59:59 (18) 4.1.4 仿真开关校准“秒”电路 (18) 4.1.5 仿真开关校准“分”电路 (19) 4.1.6 仿真开关校准“时”电路 (19) 4.2 整点报时 (20) 4.2.1 07:59:50—07:59:59报时 (20) 4.3 闹钟电路 (21) 4.3.1 7:59:00闹钟设定 (21) 第5章结论 (22) 第6章利用Multisim14.0仿真软件设计体会 (23) 参考文献 (23) 第7章收获、体会和建议 (24)

多功能数字钟的设计

《Protel应用》课程设计任务书 学生姓名:专业班级: 指导教师:黄铮工作单位:信息工程学院 题目:多功能数字钟的设计 主要目的就是对学生进行基础课程、基本技能、基本动手能力的强化训练,提高学生的基础理论知识、基本动手能力,提高人才培养的基本素质。 一、训练内容和要求 1、绘制具有一定规模、一定复杂程度的电路原理图*.sch(自选)。可以涉及模拟、数字、高频、单片机、或者一个具有完备功能的电子电路系统。 2、绘制相应电路原理图的双面印刷版图*.pcb。对电路原理图进行仿真,给出仿真结果(如波形*.sdf、数据)并说明是否达到设计意图。 3、基本动手能力和知识应用能力强化训练 1)学习PROTEL软件; 2)绘制电路的原理图和PCB版图,要求图纸绘制清晰、布线合理、符合绘图规范; 4、查阅至少5篇参考文献,按《武汉理工大学课程设计工作规范》要求撰写基础强化训练报告书,全文用A4纸打印。 二、初始条件 模电数电基本知识;计算机;MULTISIM 软件;PROTEL软件 时间安排: 6.13:理论设计 6.14~6.17:安装调试仿真 6.18~6.19:撰写报告 6.20:答辩 指导教师签名:年月日

系主任(或责任教师)签名:年月日 目录 摘要 (1) Abstract (2) 1 设计要求 (3) 2.1脉冲产生电路 (3) 2.1.1振荡器的设计 (3) 2.1.2分频器的设计 (5) 2.2记时电路 (6) 2.2.1分秒记时部分设计 (7) 2.2.2时记时部分设计 (8) 2.2.3显示电路 (8) 2.2.3校准电路 (9) 2.2.3总体设计电路 (10) 2.2.3仿真验证 (11) 3 Protel的运用 (12) 3.1创建项目 (12) 3.2摆放元件 (14) 3.3绘制原理图 (15) 3.4更改元件属性 (16)

数字时钟的multisim设计与仿真.doc

电子电路Multisim设计和仿真 学院: 专业和班级: 姓名: 学号:

数字时钟的Multisim设计和仿真 一、设计和仿真要求 学习综合数字电子电路的设计、实现和调试 1.设计一个24或12小时制的数字时钟。 2. 要求:计时、显示精确到秒;有校时功能。采用中小规模集成电路设计。 3.发挥:增加闹钟功能。 二、总体设计和电路框图 1. 设计思路 1).由秒时钟信号发生器、计时电路和校时电路构成电路。 2).秒时钟信号发生器可由555定时器构成。 3).计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 4).校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。 2. 电路框图 图1. 数字钟电路框图 三、子模块具体设计 1. 由555定时器构成的1Hz秒时钟信号发生器。 由下面的电路图产生1Hz的脉冲信号作为总电路的初输入时钟脉冲。

2. 分、秒计时电路及显示部分 在数字钟的控制电路中,分和秒的控制都是一样的,都是由一个十进制计数器和一个六进制计数器串联而成的,在电路的设计中我采用的是统一的器件74LS160D 的反馈置数法来实现十进制功能和六进制功能,根据74LS160D 的结构把输出端的0110(十进制为6)用一个与非门74LS00引到CLR 端便可置0,这样就实现了六进制计数。 由两片十进制同步加法计数器74LS160级联产生,采用的是异步清零法。 显示部分用的是七段数码管和两片译码器74LS48D 。 3. 时计时电路及显示部分 由两片十进制同步加法计数器74LS160级联产生,采用的是同步置数法,u1输出端为0011(十进制为3)与u2输出端0010(十进制为2)经过与非门接两片的置数端。 显示部分用的是七段数码管和两片译码器74LS48D 。 图2. 时钟信号发生电路 图3. 分秒计时电路

多功能数字钟电路设计

多功能数字钟电路设计 一、数字电子钟设计摘要 (2) 二、数字电子钟方案框图 (2) 三、单元电路设计及相关元器件的选择 (3) 1.6进制计数器电路的设计 (3) 2.10进制计数器电路的设计 (4) 3.60进制计数器电路的设计 (4) 4.时间计数器电路的设计 (5) 5.校正电路的设计 (6) 6.时钟电路的设计 (7) 7.整点报时电路设计 (8) 8. 译码驱动及单元显示电路 (9) 四、系统电路总图及原理 (9) 五、经验体会 (10) 六、参考文献 (10) 附录A:系统电路原理图 附录B:元器件清单

一、数字电子钟设计摘要 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。且由于数字钟包括组合逻辑电路和时叙电路。通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 二、数字电子钟方案框图 图1 数字电子钟方案框图

三、单元电路设计和元器件的选择 1. 6进制计数器电路的设计 现要设计一个6进制的计数器,采用一片中规模集成电路74LS90N芯片,先接成十进制,再转换成6进制,利用“反馈清零”的方法即可实现6进制计数,如图2所示。 图2

2. 10进制电路设计 图3 3. 60 进数器电路的设计 “秒”计数器与“分”计数器都是六十进制,它由一级十进制计数器和一级六进制计数器连接而成,如图4所示,采用两片中规模集成电路74LS90N串接起来构成“秒”“分”计数器。

多功能数字钟(课程设计版)

题目: 多功能数字钟电路设计 器材:74LS390,74LS48,数码显示器BS202, 74LS00 3片,74LS04,74LS08,电容,开关,蜂鸣器,电阻,导线 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 时间安排: 指导教师签名:年月日 系主任(或责任教师)签名:年月日

索引 摘要 (4) Abstract (4) 1系统原理框图 (6) 2方案设计与论证 (7) 2.1时间脉冲产生电路 (7) 2.2分频器电路 (10) 2.3时间计数器电路 (11) 2.4译码驱动及显示单元电路 (12) 2.5校时电路 (13) 2.6报时电路 (14) 3单元电路的设计 (15) 3.1时间脉冲产生电路的设计 (15) 3.2计数电路的设计 (16) 3.2.1 60进制计数器的设计 (16) 3.2.2 24进制计数器的设计 (16) 3.3 译码及驱动显示电路 (17) 3.4 校时电路的设计 (18)

3.5 报时电路 (19) 3.6电路总图 (21) 4仿真结果及分析 (22) 4.1时钟结果仿真 (22) 4.2 秒钟个位时序图 (22) 4.3报时电路时序图 (23) 4.4测试结果分析 (23) 5心得与体会 (24) 6参考文献 (24) 附录1原件清单 (26) 附录2部分芯片引脚图与功能表 (27)

多功能数字钟的设计与仿真

目录 1绪论 (1) 2P r o t e u s软件介绍 (2) 3数字钟的原理框图 (4) 4电路的设计 (5) 4.1主体电路的设计 (5) 4.1.1秒脉冲电路的设计 (5) 4.1.2计时及状态转换功能的实现 (6) 4.1.3译码与显示电路的设计 (8) 4.1.4校时电路的设计 (10) 4.1.5主体电路图 (11) 4.2功能扩展电路的设计 (12) 4.2.1定时控制电路的设计 (12) 4.2.2整点报时电路的设计 (15) 4.3整体电路的设计 (17) 5整体电路的仿真 (19) 6电路功能测试以及常见问题解决本法 (20) 6.1电路功能测试 (20) 6.2常见问题解决办法..............................................................................2 0 7元件清单 (21) 8心得体会 (22) 9参考文献 (23)

多功能数字钟的设计 1.绪论 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,并且可以实现更多的功能,如:定时控制、整点报时、闹钟、触摸报整点时数等,在现实生活中,各种数字钟已得到了非常广泛的使用。数字钟的设计方法有许多种,例如,可用中小规模集成电路组成数字钟,也还可以利用单片机来实现数字钟等。这些方法都各有其特点,其中利用中小规模集成电路组建数字钟,原理简单,但由于集成电路集成度有限,对于需要实现较多功能的电路设计比较复杂,对于制作者焊接和布线有较高的要求。用单片机实现的电子钟具有结构简单,并便于功能的扩展,但需要涉及到汇编以及C语言编写程序,对设计者有较高的要求。本次设计为用中小规模集成电路组成数字钟。

数字钟的设计与制作

电子技术课程设计报告题目数字钟的设计与制作 专业班级:自动化01班 姓名: 指导教师: 2011年1月7日 数字钟课程设计任务书

数字钟是一种用数字显示秒、分、时的计时装置,与以往的机械式计时相比,它具有走时准、显示直观、无机械传动装置等优点,因而得到了广泛的应用;小到人们日常生活中的电子手表,大到车船、码头、机场等公共场所的大型数显电子钟。多功能数字钟由以下几部分组成:555定时器组成的多谐振荡器构成秒脉冲发生器;校正电路;六十进制的秒、分计数器和十二进制的时计数器;秒、分、时的数码显示部分;报时电路等。 具体要求如下:钟是一种用数字电路技术实现时、分、秒计时的装置。通过数字钟的制作进一步了解了中下规模集成电路。 设计指示: 1、时间以12小时为一个周期; 2、显示时、分、秒; 3、具有校时功能,可以分别对时、分进行单独校时,使其校正 到标准时间; 4、计时过程具有报时功能,当时间到达正点前十秒进行蜂鸣报 时; 5、用555多振荡器提供表针时间基准信号。 设计要求: 1、画出电路原理图(或仿真电路图); 3、电路仿真; 2、元器件及参数选择; 4、接线及调试; 目录

一、设计任务与要求。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。4 二、总体框图。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。5 三、选择器件。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。8 四、功能模块。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。21 五、总体设计电路。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。26 六、设计体会。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。28 一、设计要求及任务

数字电子钟的设计与仿真

数字电子钟的设计与仿真 Digital electronic clock design and simulation

摘要 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。现代生活的人们越来越重视起了时间观念,可以说是时间和金钱划上了等号。对于那些对时间把握非常严格和准确的人或事来说,时间的不准确会带来非常大的麻烦,所以以数码管为显示器的时钟比指针式的时钟表现出了很大的优势。数码管显示的时间简单明了而且读数快、时间准确显示到秒。而机械式的依赖于晶体震荡器,可能会导致误差。数字钟是采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。数字钟的精度、稳定度远远超过老式机械钟。在这次设计中,我们采用LED数码管显示时、分、秒,以24小时计时方式,根据数码管动态显示原理来进行显示,用12MHz的晶振产生振荡脉冲,定时器计数。在此次设计中,电路具有显示时间的其本功能,还可以实现对时间的调整。数字钟是其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱,因此得到了广泛的使用。 关键字:单片机LED显示 Abstract At the end of the twentieth Century, electronic technology has obtained the rapid development, under its impetus, the modern electronic products almost permeated each domains of the society, effectively promoted the development of social productivity and the improvement of social information-based degree, but also makes the modern electronic products to further improve the performance, product upgrading has become increasingly fast pace. Modern life of people more and more attention to the concept of time, can be said to be the time and money is a sign. For

相关文档
相关文档 最新文档