文档库 最新最全的文档下载
当前位置:文档库 › AVR模拟量程序

AVR模拟量程序

AVR模拟量程序
AVR模拟量程序

本程序经过测试,AVR单片机模拟量转换以及显示程序! ICCAVR软件编译!

#include

#include

unsigned char led4[] = {0xC0,0xF9,0xA4,0xB0,0x99,0x92,0x82,0xF8,0x80,0x90,0x88,0x83,0xC6

,0xA1,0x86,0x8E};

unsigned char led4_buf[] = {0, 0, 0, 0, 0}; /*显示信息*/

//**********************************

void delay_ms(unsigned int i) {

unsigned int a;

unsigned char b;

for (a = 1; a < i; a++) {

for (b = 1; b; b++) {

;

}

}

}

//**********************************

//**********************************

void main(void) {

unsigned int i;

DDRA = 0x7F; /*方向输入*/

PORTA = 0xFF; /*打开上拉*/

DDRB = 0xFF; /*方向输出*/

PORTB = 0xFF; /*电平设置*/

DDRC = 0xFF;

PORTC = 0xFF;

DDRD = 0xFF;

PORTD = 0xFF;

led4_init(); /*显示初始化*/

led4_buf[4] = 3; /*小数点位置*/

while (1) {

delay_ms(100); /*周期控制*/

i = get_ad(); /*获取电压*/

i = (unsigned int)(((unsigned long)i*5000)/1024); /*将AD结果转成电压值*/

int_to_bcd(i); /*将电压值提取出来*/

}

}

//********************************

void led4_init(void) {

/*初始化定时器*/

OCR1A = 1000; /*计数周期为4mS,F=1M*/

TIMSK |= (1 << OCIE1A); /*比较中断A允许*/

TCCR1A = 0x00;

TCCR1B = (1 << WGM12); /*定时器工作在CTC计数器模式*/ TCCR1B |= (1 << CS11); /*设置定时器的分频值为8分频*/

/*SPI接口初始化*/

DDRB |= (1<

SPCR = (1<

SPCR |= (1<

SPCR |= (1<

/*中断使能*/

SEI();

}

//***********************************

unsigned int get_ad(void) {

unsigned int i;

ADMUX = (1 << REFS0);//|(1<

ADMUX |= (1 << MUX2) | (1 << MUX1) | (1 << MUX0); /*通道7*/

ADCSRA = (1 << ADEN) | (1 << ADSC) /*使能、开启*/ | (1 << ADPS1) | (1 << ADPS0)|(1 << ADPS2);

/*8分频*/

while(!(ADCSRA & (1 << ADIF))); /*等待采样结束*/

i = ADC; /*读取AD结果*/

ADCSRA &= ~(1 << ADIF); /*清标志*/

ADCSRA &= ~(1 << ADEN); /*关闭转换*/

return i; /*返回结果*/

}

//*******************************

void int_to_bcd(unsigned int temp) {

unsigned char i;

if (temp > 9999) { /*防止数值过大*/ temp = 9999;

}

for (i = 0; i < 4; i++) {

led4_buf[i] = temp % 10; /*提取当前变量最低位*/

temp = temp / 10; /*去掉当前最低位值*/

}

}

//**********************888]

#pragma interrupt_handler Int_TCCR1A: iv_TIMER1_COMPA

void Int_TCCR1A(void) {

unsigned char temp;

static unsigned char i;

PORTB &= ~(1 << 4); /*准备锁存*/

PORTB |= 0x0F; /*清除显示位选*/

temp = led4_buf[i] % 16; /*过虑溢出的值*/

temp = led4[temp]; /*取出数示码值*/

if (led4_buf[4] == i) { /*显示小数点*/

SPDR = temp& 0x7F; /*加载显示值,启动SPI传输*/

}

else {

SPDR = temp; /*加载显示值,启动SPI传输*/

}

while (!(SPSR & (1<

PORTB |= (1 << 4); /*锁存数据*/

PORTB &= ~(1 << i); /*设置位选*/

if (i > 3) { /*显示位置处理*/

i = 0;

}

else {

i ++;

} }

PLC模拟量编程实例

对输入、输出模拟量的PLC编程实例解析 对于初学PLC编程的人来说,模拟量输入、输出模块的编程要比用位变量进行一般的程序控制难的多,因为它不仅仅是程序编程,而且还涉及到模拟量的转换公式推导与使用的问题。不同的传感变送器,通过不同的模拟量输入输出模块进行转换,其转换公式是不一样的,如果选用的转换公式不对,编出的程序肯定是错误的。比如有3个温度传感变送器: (1)、测温范围为0~200 ,变送器输出信号为4~20ma (2)、测温范围为0~200 ,变送器输出信号为0~5V (3)、测温范围为-100 ~500 ,变送器输出信号为4~20ma (1)和(2)二个温度传感变送器,测温范围一样,但输出信号不同,(1)和(3)传感变送器输出信号一样,但测温范围不同,这3个传感变送器既使选用相同的模拟量输入模块,其转换公式也是各不相同。 一、转换公式的推导 下面选用S7-200的模拟量输入输出模块EM235的参数为依据对上述的3个温度传感器进行转换公式的推导: 对于(1)和(3)传感变送器所用的模块,其模拟量输入设置为0~20ma电流信号,20ma 对应数子量=32000,4 ma对应数字量=6400; 对于(2)传感变送器用的模块,其模拟量输入设置为0~5V电压信号,5V 对应数字量=32000,0V对应数字量=0; 这3种传感変送器的转换公式该如何推导的呢?这要借助与数学知识帮助,请见下图:

上面推导出的(2-1)、(2-2)、(2-3)三式就是对应(1)、(2)、(3)三种温

度传感变送器经过模块转换成数字量后再换算为被测量的转换公式。编程者依据正确的转换公式进行编程,就会获得满意的效果。 二、变送器与模块的连接 通常输出4~20ma电流信号的传感变送器,对外输出只有+、- 二根连线,它需要外接24V电源电压才能工作,如将它的+、- 二根连线分别与24V电源的正负极相连,在被测量正常变化范围内,此回路将产生4~20ma电流,见下左图。下右图粉色虚线框内为EM235 模块第一路模拟输入的框图,它有3个输入端,其A+与A-为A/D转换器的+ - 输入端,RA与A-之间并接250Ω标准电阻。A/D转换器是正逻辑电路,它的输入是0~5V电压信号,A-为公共端,与PLC 的24V电源的负极相连。 那么24V电源、传感变送器、模块的输入口三者应如何连接才是正确的?正确的连线是这样的:将左图电源负极与传感器输出的负极连线断开,将电源的负极接模块的A-端,将传感器输出负极接RA端,RA端与A+端并接一起,这样由传感器负极输出的4~20ma电流由RA流入250Ω标准电阻产生0~5V 电压并加在A+与A-输入端。 切记:不可从左图的24V正极处断开,去接模块的信号输入端,如这样连接,模块是不会正常工作的。 对第(2)种电压输出的传感変送器,模块的输入应设置为0~5V电压模式,连线时,变送器输出负极只连A+,RA端空悬即可。 三、按转换公式编程: 根据转换后变量的精度要求,对转换公式编程有二种形式:1、整数运算,2、实数运算。

4 多路复用器和比较器的仿真实验报告

实验四多路复用器与比较器的设计与仿真 一、实验内容 1.参照芯片74LS153的电路结构,用VHDL语言设计四选一多路复用器; 2.从Maxplus中取7485器件(比较器)进行仿真与分析;用VHDL语言设计4位比较器,接着进行仿真与分析,电路逻辑结构参照芯片74x85。 二、电路功能介绍 1.74153:4选1多路复用器(4 to 1 Multiplexer),又叫4选1数据选择器用途:可以对多个输入信号进行选择。电视机里的频道转换开关就是一个多路开关。 逻辑框图

逻辑功能表 逻辑图

2.7485:4位大小比较器(4-Bit Magnitude Comparator) 逻辑框图 逻辑功能表 一、4选1多路复用器 1.VHDL语言实现: library IEEE; use IEEE.std_logic_1164.all; entity mux4 is port( a,b,c,d:in STD_LOGIC; sel:in STD_LOGIC_VECTOR(1 downto 0); q:out STD_LOGIC);

end mux4; architecture mux4_arch of mux4 is begin process(a,b,c,d,sel) begin case sel is when "00"=>q<=a; when "01"=>q<=b; when "10"=>q<=c; when "11"=>q<=d; when others=>null; end case; end process; end mux4_arch; 2.波形图: 3.逻辑图: 4.用途:可以对多个输入信号进行选择。电视机里的频道转换开关就是一个多路开关。 5. 逻辑框图

双限比较器及滞回比较器设计与仿真模电课设

目录 1.课程设计的目的与作用 (2) 1.1课程设计目的 (2) 1.2课程设计作用 (2) 2.设计任务及所用multisim软件环境介绍 (3) 2.1课程设计的任务与要求 (3) 2.1.1课程设计的任务 (3) 2.1.2课程设计的要求 (3) 2.2multisim软件环境介绍 (4) 3.电路模型的建立 (5) 4.理论分析及计算 (6) 4.1双限比较器电路的设计分析及计 算 (6) 4.2滞回比较器电路的设计分析及计 算 (7) 5.仿真结果分 析 (8) 5.1双限比较器电路的multisim仿真结果分析 (8) 5.2滞回比较器电路的multisim结果仿真分析 (8) 6.设计总结 (9) 7.参考文献 (10)

1课程设计的目的与作用 1.1课程设计的目的 模拟电路课程设计是模拟电子技术课程重要的实践性教学环节,是对学生学习模拟电子技术的综合性训练,这种训练是通过学生独立进行某一个或两个课题的设计、安装和调试来完成的。 通过模拟电路课设要求学生: 1、根据给定的技术指标,从稳定可靠、使用方便、高性能价格比出发来选择方案,运用所学过的各种电子器件和电子线路知识,设计出相应的功能电路。 2、通过查阅手册和文献资料,培养学生独立分析问题和解决实际问题的能力。 3、了解常用电子器件的类型和特性,并掌握合理选用的原则。 4、学会电子电路的安装与调试技能,掌握电子电路的测试方法。 5、进一步数以电子仪器的使用方法。 6、学会撰写课程设计总结报告。 7、培养学生严肃认真的工作作风和严谨的科学态度 1.2课程设计的作用 学生运用所学的知识,动脑又动手,在教师指导下,结合某一专题独立地开展 电子电路的设计与实验,培养学生分析、解决实际电路问题的能力。该课程的任务是使学生掌握模拟电子技术方面的基本概念、基本原理和基本分析方法,重点培养学生分析问题和解决问题的能力,初步具备电子技术工程人员的素质,并为学习后继课程打好基础。

S7-300 PLC模拟量输入输出量程转换教程

S7-300/400 PLC模拟量输入/输出的量程转换 SLC A&D CS March, 2005

1模拟量输入/输出量程转换的概念 (3) 2S7-300/400 PLC模拟量输入/输出模板 (3) 2.1需要使用的模板 (3) 2.2涉及的信号类型 (3) 3STEP 7中模拟量输入/输出的编程 (3) 3.1FC105/FC106在哪里 (3) 3.2FC105/FC106功能描述 (5) 3.2.1FC105功能描述 (5) 3.2.2FC106功能描述 (5) 3.3FC105/FC106参数定义 (6) 3.3.1FC105 的参数定义 (6) 3.3.2FC106的参数定义 (6) 3.4例子程序 (7) 3.4.1FC105例子程序 (7) 3.4.2FC106例子程序 (8)

1模拟量输入/输出量程转换的概念 实际的工程量,如压力、温度、流量、物位等要采用各种类型传感器进行测量。传感器将输出标准电压、电流、温度、或电阻信号供PLC采集,PLC的模拟量输入模板将该电压、电流、温度、或电阻信号等模拟量转换成数字量——整形数(INTEGER)。在PLC程序内部要对相应的信号进行比较、运算时,常需将该信号转换成实际物理值(对应于传感器的量程)。而经程序运算后得到的结果要先转换成与实际工程量对应的整形数,再经模拟量输出模板转换成电压、电流信号去控制现场执行机构。这样就需要在程序中调用功能块完成量程转换。 如一个压力调节回路中,压力变送器输出4-20mA DC信号到SM331模拟量输入模板, SM331模板将该信号转换成0-27648的整形数,然后在程序中要调用FC105将该值转换成0-10.0(MPa)的工程量(实数),经PID运算后得到的结果仍为实数,要用FC106转换为对应阀门开度0-100%的整形数0-27648后,经SM332模拟量输出模板输出4-20mA DC信号到调节阀的执行机构。 本文主要讨论S7-300/400 PLC编程中模拟量的量程转换。 2S7-300/400 PLC模拟量输入/输出模板 2.1需要使用的模板 使用西门子S7-300/400 PLC进行模拟量输入/输出需要使用的模板: S7-300系列PLC:SM331系列模拟量输入模板;SM332系列模拟量输出模板;SM334/335系列模拟量输入/输出模板。 S7-400系列PLC:SM431系列模拟量输入模板;SM432模拟量输出模板。 目前常用的模板规格型号参见模板手册,请链接到如下网址下载模板手册: S7-300: https://www.wendangku.net/doc/44702982.html,/WW/view/en/8859629 S7-400: https://www.wendangku.net/doc/44702982.html,/WW/view/en/1117740 2.2涉及的信号类型 电压,电流,温度,电阻。 3STEP 7中模拟量输入/输出的编程 3.1FC105/FC106在哪里 在编程界面下,在Program elements中的Libraries下的Standard Library下的TI-S7 Converting Blocks中就可以找到,见下图:

数值比较器的应用

数值比较器电路的仿真分析及应用 程勇 陈素 陈淑平 (机电信息工程系 实训中心 450008) 摘要:数值比较器是数字电路中经常用到的典型电路,传统的教学模式中,对数值比较器的学习及应用设计,离不开在实验室中的电路调试,学习方式较为枯燥抽象,又耗时费力,学习效果也不尽理想。现代电子设计中,由于仿真软件的出现,变抽象的知识为直观的展示,既可以通过仿真学习数值比较器的工作原理,又可以通过仿真进行数值比较器的应用设计,学习及应用效果事半功倍。 关键词:数值比较器、仿真分析、应用 在各种数字系统尤其是在数字电子计算机中,经常需要对两个二进制数进行大小判别,然后根据判别结果转向执行某种操作。用来完成两个二进制数的大小比较的逻辑电路称为数值比较器,简称比较器。在数字电路中,数值比较器的输入是要进行比较的两个二进制数,输出是比较的结果。 一.电路设计分析 首先讨论1位数值比较器。1位数值比较器是多位比较器的基础。当A 和B 都是1位二进制数时,它们的取值和比较结果可由1位数值比较器的真值表表示,如表1所示。 表1 1位数值比较器的真值表 由真值表可得如下逻辑表达式 A B A B A B F AB F AB F AB AB A B ><====+=⊕ 由逻辑表达式可以画出如图1所示的逻辑图。

图1 1位数值比较器逻辑图 二.比较器电路的仿真分析 (一)元件选取及电路组成 打开仿真软件Multisim 10,根据图1所示的1位数值比较器逻辑图,可以在仿真软件Multisim 10中构建仿真电路,如 图3所示。 1.元件选取 (1)指示灯的选取 1位数值比较器逻辑运算完后,输出结果处 接一指示灯作为指示,灯亮表示运算结果成立, 灯灭表示运算结果不成立。单击元件栏的Place Indicator→PROBE,选取PROBE_RED指示灯。 为了观察清晰明白,将指示灯PROBE连击打开其图2 指示灯的Label设置 设置对话框,在其Label中的标号由默认的X1改为“A等于B”、“A大于B”、“A 小于B”等。如图2所示。 (2)其他元器件可参照以下说明取用。 电源VCC:Place Source→POWER_SOURCES→VCC 接地:Place Source→POWER_SOURCES→GROUND,选取电路中的接地。 或非门U1A的选取:Place TTL→74LS→74LS02D 与门U3A、U5A的选取:Place TTL→74LS→74LS08D 非门U2 A、U4A的选取:Place TTL→74LS→74LS04N 2.电路组成 参照图3放置元件并进行连接,构成1位数值比较器的仿真测试电路。 (二)仿真分析

PLC在程序设计时如何对模拟量进行工程转换程序实例

PLC在程序设计时如何对模拟量进行处理 一.PLC处理信号类型的概述 PLC能处理的数据类型包括数字量和模拟量两种类型。在时间上和数量上都是离散的物理量称为数字量。把表示数字量的信号叫数字信号。把工作在数字信号下的电子电路叫数字电路。数字量由多个开关量组成。如三个开关量可以组成表示八个状态的数字量。模拟量:在时间上或数值上都是连续的物理量称为模拟量。把表示模拟量的信号叫模拟信号。把工作在模拟1信号下的电子电路叫模拟电路。模拟量是连续的量,数字量是不连续的。反映的是电量测量数值(如电流、电压)。 我们把数字量其中的一个位也叫做开关量,每一个开关量对应PLC开关量输入的一个点,对应的对象包括开关,按钮,接近开关,行程开关以及开关量输出的光电开关等电气元件,而模拟量则包括常见的电压,电流,频率,压力,流量和温度等。 二.模拟量传感器的信号类型 模拟量主要是应用于自动控制系统中,它将现场采集到的物理信号转换成电信号,并利用变送器进行信号的校正和标准化。PLC在运行过程中采集到的信号都是经过变送器进行过校正和标准化的电压或者电流信号。 常见变送器输出信号类型有: 电压信号:-10V到10V, 0到10V 1到5V 0到5V 电流信号:4-20mA 0-20mA 在进行方案设计时要确定PLC所接收的数据类型,能够兼容变送器输出的数据类型即可。 三现场模拟量进行数字量转换的对应关系。 接下来以欧姆龙系列PLC对红外测温传感器为例,详细介绍PLC如何多模拟量

2.PLC采集到的数值0-12000到400-1200 C 进行工程量转换。 四.PLC程序设计 1.PLC参数设置 在PLC设置选项-内建AD中进行如下图设置。

各种比较器电路及波形产生器

首页| 行业黑名单| 委托交易| 帮助| En 滞回电压比较器 作者:weigaole栏目:新手园地 滞回电压比较器 更新时间:2007年05月10日 输出引一个电阻分压支路到同相输入端,组成如图11-4-4(a)所示电路。 2007-02/20070210101630701.gif onload="return imgzoom(this,550)" onerror="javascript:errpic )" border=0 onclick="javascript:window.open(this.src);" style="cursor: pointer" useMap=#Map>电路图(b) 传输特性 11-4-4 滞回电压比较器 作原理 ui从零逐渐增大,且ui ≤UTH1时,u0=U+om,UTH1称为上限触发电平,或称为上限阈值。UTH 用叠加原理求出

2007-02/20070210101630460.gif onload="return imgzoom(this,550)" onerror="javascript:errpic )" border=0 onclick="javascript:window.open(this.src);" style="cursor: pointer" useMap=#Map> 输入电压ui ≥UTH1时,u0=U-om。此时触发电平变为UTH2,称为下限触发电平,或下限阈值。 2007-02/20070210101630578.gif onload="return imgzoom(this,550)" onerror="javascript:errpic )" border=0 onclick="javascript:window.open(this.src);" style="cursor: pointer" useMap=#Map> ui 逐渐减小,且ui=UTH2以前,u0始终等于U-om。当输入电压变化到ui ≤UTH2以后,u0=U+o 此出现了如图11-4-4(b)所示的滞回特性曲线。 义二阈值之差△U=UTH1-UTH2为回差电压。 2007-02/20070210101630713.gif onload="return imgzoom(this,550)" onerror="javascript:errpic )" border=0 onclick="javascript:window.open(this.src);" style="cursor: pointer" useMap=#Map> >>参与讨:weigaole于2007-5-15 9:03:13 发布: 建立比较器的外部滞回电压 以来, 模拟比较器的使用一直处在它的―同伴‖——运算放大器的阴影之中。运算放大器是广泛 的电子器件, 设计人员发表了大量针对运算放大器的应用笔记, 而关于比较器的应用笔记较 正是由于缺少比较器的应用资料, 很多用户希望MAXIM应用部能够在如何建立比较器滞回电 面提供帮助。本文针对这一需求, 介绍在一些常用的比较器电路中建立滞回电压的方法, 并且 了提高噪声抑制能力和系统稳定性有关措施。 比较器滞回的讨论需要从―滞回‖的定义开始, 与许多其它技术术语一样, ―滞回‖源于希腊语, 是―延迟‖或―滞后‖, 或阻碍前一状态的变化。工程中, 常用滞回描述非对称操作, 比如, 从A到 从B到A是互不相同。在磁现象、非可塑性形变以及比较器电路中都存在滞回。

S7-200模拟量比例换算

因为A/D(模/数)、D/A(数/模)转换之间的对应关系,S7-200 CPU内部用数值表示外部的模拟量信号,两者之间有一定的数学关系。这个关系就是模拟量/数值量的换算关系。 例如,使用一个0 - 20mA的模拟量信号输入,在S7-200 CPU内部,0 - 20mA对应于数值范围0 - 32000;对于4 - 20mA的信号,对应的内部数值为6400 - 32000。 如果有两个传感器,量程都是0 - 16MPa,但是一个是0 - 20mA输出,另一个是4 - 20mA输出。它们在相同的压力下,变送的模拟量电流大小不同,在S7-200内部的数值表示也不同。显然两者之间存在比例换算关系。模拟量输出的情况也大致相同。 上面谈到的是0 - 20mA与4 - 20mA之间换算关系,但模拟量转换的目的显然不是在S7-200 CPU中得到一个0 - 32000之类的数值;对于编程和操作人员来说,得到具体的物理量数值(如压力值、流量值),或者对应物理量占量程的百分比数值要更方便,这是换算的最终目标。 如果使用编程软件Micro/WIN32中的PID Wizard(PID向导)生成PID功能子程序,就不必进行0 - 20mA与4 - 20mA信号之间的换算,只需进行简单的设置。 通用比例换算公式 模拟量的输入/输出都可以用下列的通用换算公式换算: Ov = [(Osh - Osl)*(Iv - Isl)/(Ish - Isl)] + Osl 其中: Ov: 换算结果 Iv: 换算对象 Osh: 换算结果的高限 Osl: 换算结果的低限 Ish: 换算对象的高限 Isl: 换算对象的低限 它们之间的关系可以图示如下:

电压比较器的设计与调测Multisim仿真

电压比较器的设计与调测Multisim仿真

电压比较器的设计与调测 班级:xxxxxxxxxx 学号:xxxxxxxxxx 姓名:xx (1)波形转换电路的测量 电路原理图: V1 6V XFG1 COM U1 UA741CP 3 2 4 7 6 51 XSC1 A B Ext Trig + + _ _+_ R1 1kΩ R2 1kΩ 3 1 5 4 7 V2 -6V 2 函数发生器参数设置: 示波器波形显示:

(2) 湿度调控电路的测量 ①静态调测 电路原理图(LED1是绿灯,LED2是红灯): V1 5V V2-5V U1 UA741CP 32 4 7 6 5 1 Rs 500kΩKey=A 15 % R1 50kΩ R250kΩ R3 100kΩ Ro 100Ω LED1 LED2 13 460 5 2

当(由大变小)时电路状态如 下: V15V V2-5V U1 UA741CP 32 4 7 6 5 1 Rs 500kΩKey=A 5 % R1 50kΩ R250kΩ R3 100kΩ Ro 100Ω 13 4LED1 LED2 65 当(由小变大)时电路状态 如下: V15V V2-5V U1 UA741CP 32 4 7 6 5 1 Rs 500kΩKey=A 20 % R1 50kΩ R250kΩ R3 100kΩ Ro 100Ω 13 4LED1 LED2 65

②动态调测电路原理图: V1 5V V2 -5V U1 UA741CP 3 2 4 7 6 51 R2 50kΩ R3 100kΩ Ro 100Ω LED1 LED2 XFG1 COM XSC1 A B Ext Trig + + _ _+_ 46 5 2 1 3 函数发生器参数设置: 示波器波形显示:

比较器失调的仿真方法

比较器失调的仿真用到了蒙特卡罗分析: 首先是蒙特卡罗分析窗口的一些设置,如下图所示,在此不再赘述。 其中Analysis Variation:Process是指device mismatch on two different dies(die to die)。 Mismatch是指device mismatch on the same die。 记得要把“Save Data Between Runs to Allow Family Plots”勾选,通过Calculator可以获取output的表达式。 比较器失调仿真的整体仿真图如图1所示:(其中包含有①单端到差分的转换(xfmr),输入的共模电平值由VCM确定,②理想的采保电路sah_ideal,其属性设置如图2所示。 ③比较器) 本实例中的比较器是由预放大器与锁存器组成,锁存器由时钟控制,当时钟的上升沿到来后,比较器产生比较结果,当时钟变为低电平后,比较器的输出复位到Vdd。

图1 图2 输入源vpwl的设置如图3所示: 图3 仿真后得到Comp的VP和VN的输入分别如图4所示。对于每一个输入值Xi,在时钟的上升沿到来后,比较器都有一个确定的输出值。由于对称性,我们只选取16.4ns之前的41组Xdata数据:

图4 在理想的情况下,当Xi>Xth时,比较器输出为高电平;当XiXth时,比较器输出可能为低电平;同时XiX off)=n i/N=z i,其中N是蒙特卡罗分析的总次数,n i是某个X i下输出为1的次数。这个函数是X off的概率密度函数的积分,从而得到比较 器失调的统计特性。拟合出Z i和X i的关系曲线,z=p1*X+p2。 代码部分 导出cadence仿真数据到matlab处理的ocean脚本程序: openResults("/home/music/simulation/SIM_pre_amp1/spectre/schematic/psf") selectResult('tran) ocnPrint(?output "/home/music/simulation/SIM_pre_amp1/spectre/schematic/psf/comp.txt" ?precision

模拟量比例换算

模拟量比例换算 因为A/D(模/数)、D/A(数/模)转换之间的对应关系,S7-200 SMART CPU内部用数值表示外部的模拟量信号,两者之间有一定的数学关系。这个关系就是模拟量/数值量的换算关系。 例如,使用一个0 - 20mA的模拟量信号输入,在S7-200 SMART CPU 内部,0 - 20mA对应于数值范围0 - 27648;对于4 - 20mA的信号,对应的内部数值为5530 - 27648。 如果有两个传感器,量程都是0 - 16MPa,但是一个是0 - 20mA输出,另一个是4 - 20mA输出。它们在相同的压力下,变送的模拟量电流大小不同,在S7-200 SMART内部的数值表示也不同。显然两者之间存在比例换算关系。模拟量输出的情况也大致相同。 上面谈到的是0 - 20mA与4 - 20mA之间换算关系,但模拟量转换的目的显然不是在S7-200 SMART CPU中得到一个0 - 27648之类的数值;对于编程和操作人员来说,得到具体的物理量数值(如压力值、流量值),或者对应物理量占量程的百分比数值要更方便,这是换算的最终目标。通用比例换算公式 模拟量的输入/输出都可以用下列的通用换算公式换算: Ov = [(Osh - Osl)*(Iv - Isl)/(Ish - Isl)] + Osl 其中:

Ov:换算结果 Iv:换算对象 Osh:换算结果的高限 Osl:换算结果的低限 Ish:换算对象的高限 Isl:换算对象的低限 它们之间的关系可以图示如下: 图1. 模拟量比例换算关系 量程转化指令库 为便于用户使用,这里提供了量程转化库,用户可以添加到自己的Micro/WIN编程软件中应用。 模拟量比例换算指令库 注意:此指令库/程序的作者和拥有者对于该软件的功能 性和兼容性不负任何责任。使用该软件的风险完全由用户 自行承担。由于它是免费的,所以不提供任何担保,错误

4到20ma模拟量转换公式

这个4-20 mA模拟量是标准变送器的输出,以及应该换算多少压力和流量。 以此类推,完全根据你的需要,当然应该对应你不同的发射机。如果需要很大,可以增加后一个放大器的放大倍数,这样A/D转换时显示的数据就会很大,反之亦然。例如,4 mA代表1 kg压力,根据变送器的线性度和放大器的放大倍数进行校对和补偿,即可在可容忍的误差范围内显示您的工况数据。 学好PLC不仅需要实践,更需要理论支撑,否则根本无从下手。模拟量在PLC编程中占有重要地位,而在实际工作中遇到的最常见的问题是模拟量与工程量的换算问题。在实际工程中,现场人员经常需要采集温度、压力、流量、频率等物理量信号,而程序中采集的是与物理量对应的模拟量信号。 如何将模拟量信号转换成相应的量(物理量)?换句话说,编写模拟程序的目的是什么?编制模拟量的目的是使模拟量有相应的数字量,最终将数字量转换为工程量(物理量),即模拟量转换为工程量。工程量的问题实际上是用数字量来处理的,模拟量可以说是中间过渡。 那么今天,肖志就来推导模拟量换算的换算公式,供大家参考和使用。如果你熟悉模拟量转换的过程,你会对模拟量知识有更深的理解,编

写模拟量程序并不困难。例如,具有测温范围的温度传感变送器有三种,对应的范围为: 1)温度测量范围为0-200时,变送器输出信号为4-20 mA,对应的数字量范围为6400-32000; 2)温度测量范围为0-200V,变送器输出信号为0-10V,对应的数字量范围为0-32000; 3)温度测量范围为-200500,变送器输出信号为4-20 mA,对应的数字量范围为6400-32000; 要求我们编制的模拟量换算工程量换算公式应满足上述三个条件,三种温度检测设备都能得到正确的工程量输出结果。 三种测温方式的温度变送器模拟量与工程量的对应关系如下图所示:可以用相似三角形原理进行分析,两个相似三角形的对应边成比例,模拟量换算公式的推导过程,根据相似三角形的比例原理,推导出模拟量换算公式: ●(OV-OSL)/(OSH-OSL)=(IV-ISL)/(ISH-ISL)。 ●公式经过换算和简化后得到: ●OV=(OSH-ISL)*(IV-ISL)/(ISH-ISL)+OSL。 ●OV:模拟转换后的工程量。 ●IV:对应模拟通道的模拟输入值。 ●OSH:工程量上限。 ●OSL:工程量下限。

9-比较器仿真实验

实验九比较器电路仿真实验 1、方波发生器电路如图9-1所示。 (1)仿真输出波形,计算方波的周期并与仿真值进行对比。 (2)改变元件的参数,观察输出波形的变化。 Rf 图9-1 2、矩形波发生器电路如图9-2所示。 C上的电压波形,计算矩形波的周期并与仿真值进行对比。(1)仿真输出波形及电容 1 (2)改变元件的参数,观察输出波形的变化。 Rf R4

3、双极点Butterworth 低通滤波器电路如图9-3所示,仿真滤波器特性曲线及截止频率,并与计算值进行比较。 图9-3 4、阶梯波发生器电路如图9-4所示,场效应管参数已知,仿真场效应管的转移特性曲线及输出波形,分别改变场效应管模型参数Vto 和积分电容C 3 的值,观察输出阶梯波的变化。 场效应管参数: .model J2N4393 NJF(Beta=9.109m Betatce=-.5 Rd=1 Rs=1 Lambda=6m Vto=-0.5 + Vtotc=-2.5m Is=10f Isr=33f N=1 Nr=2 Xti=3 Alpha=20.98u + Vk=123.7 Cgd=4.57p M=.4069 Pb=1 Fc=.5 Cgs=4.06p Kf=123E-18 + Af=1) * National pid=51 case=TO18 * 88-07-13 bam BVmin=40 D4 图9-4 5、反相比例运算放大电路如图9-5所示,设集成运放型号为μA741,且运放的电源电压 12+=CC V V ,12-=EE V V 。若输入信号幅度为1±V ,周期为100μs 的方波脉冲。试求输 出电压1o v 、o v 的波形。

双限比较器及滞回比较器设计与仿真模电课设0001

2 2 10 目录 1.课程设计的目的与作用?… 1?1课程设计目的 ........ 1?2课程设计作用 ....................... 2.设计任务及所用multisim 软件环境介绍 (3) 2. 1课程设计的任务与要求 2. I. 1课程设计的任务 2?1?2课程设计的要求 2. 2 multisim 软件环境介绍 ......... 3. 电路模型的建立 ............... 4. 理论分析及计算 ............... dl 双限比较器电路的设计分析及计算 4?2滞回比较器电路的设计分析及计算 5.仿真结果分析 ......................... 5.1双限比较器电路的Bultisiii 仿真结果分析 ....... 8 5. 2滞回比较器电路的multisim 结果仿真分析 6. 设计总结 7. 参考文献,

1课程设计的目的与作用 "课程设计的目的 模拟电路课程设计是模拟电子技术课程重耍的实践性教学环节,是对学生学习模拟电子技术的综合性训练■这种训练是通过学生独立进行某一个或两个课题的设计.安装和调试來完成的。 通过模拟电路课设耍求学生: 1.根据给定的技术指标,从稳定可靠.使用方便.高性能价格比出发来选择 方案,运用所学过的各种电子器件和电子线路知识,设计出相应的功能电路。 2■通过査阅手册和文献资料,培养学生独立分析问题和解决实际问题的能力。 了解常用电子器件的类型和特性,并掌握合理选用的原则。 4.学会电了电路的安装与调试技能,掌握电子电路的测试方法。 5.进一步数以电子仪器的使用方法。 6.学会撰写课程设计总结报告。 二培养学生严肃认真的工作作风和严谨的科学态度 1.2课程设计的作用 学生运用所学的知识,动脑乂动手,在教师抬导下,结合某一专题独立地开展电子电路的设计与实验,培养学生分析、解决实际电路问题的能力。该课程的任务是使学生厳握模拟电子技术方面的基本概念.基本原理和基本分析方法,重点培养学生分析问题利解决问题的能力,初步具备电子技术工程人员的素质,并为学习后继课程打好基础。 课程设计是模拟电子技术基础课程的总结性教学环节,会培养学生综合运用本门课程及有关选修课的基本知识去解决某一实际问题的训练,加深课程知识的理解。在真个教学计划中,它起若培养学生独立工作能力的重要作用。设计和实验成功的电路可以直接在产品中使用。

S模拟量详细教程

模拟量比例换算 因为A/D(模/数)、(D/A)数/模转换之间的对应关系,S7-200 CPU内部用数值表示外部的模拟量信号,两者之间有一定的数学关系。这个关系就是模拟量/数值量的换算关系。 例如,使用一个0 - 20mA的模拟量信号输入,在S7-200 CPU内部,0 - 20mA对应于数值范围0 - 32000; 对于4 - 20mA的信号,对应的内部数值为6400 - 32000。 如果有两个传感器,量程都是0 - 16MPa,但是一个是0 - 20mA输出,另一个是4 - 20mA输出。它们在相同的压力下,变送的模拟量电流大小不同,在S7-200内部的数值表示也不同。显然两者之间 存在比例换算关系。模拟量输出的情况也大致相同。 上面谈到的是0 - 20mA与4 - 20mA之间换算关系,但模拟量转换的目的显然不是在S7-200 CPU中得到一个0 - 32000之类的数值;对于编程和操作人员来说,得到具体的物理量数值(如压力值、流量值),或者对应物理量占量程的百分比数值要更方便,这是换算的最终目标。 如果使用编程软件Micro/WIN32中的PID Wizard(PID向导)生成PID功能子程序,就不必进行0 - 20mA 与4 - 20mA信号之间的换算,只需进行简单的设置。 通用比例换算公式 模拟量的输入/输出都可以用下列的通用换算公式换算: Ov = [(Osh - Osl)*(Iv - Isl)/(Ish - Isl)] + Osl 其中: Ov: 换算结果 Iv: 换算对象 Osh: 换算结果的高限 Osl: 换算结果的低限 Ish: 换算对象的高限 Isl: 换算对象的低限 它们之间的关系可以图示如下: 图1. 模拟量比例换算关系 实用指令库 在Step7 - Micro/WIN Programming Tips(Micro/WIN编程技巧中)的Tip38就是关于如何实现上述 转换的例程。

PLC模拟量(工程量)转化的方法

PLC模拟量(工程量)转化的方法 1、基本概念 我们生活在一个物质的世界中。世间所有的物质都包含了化学和物理特性,我们是通过对物质的表观性质来了解和表述物质的自有特性和运动特性。这些表观性质就是我们常说的质量、温度、速度、压力、电压、电流等用数学语言表述的物理量,在自控领域称为工程量。这种表述的优点是直观、容易理解。在电动传感技术出现之前,传统的检测仪器可以直接显示被测量的物理量,其中也包括机械式的电动仪表。 2、标准信号 在电动传感器时代,中央控制成为可能,这就需要检测信号的远距离传送。但是纷繁复杂的物理量信号直接传送会大大降低仪表的适用性。而且大多传感器属于弱信号型,远距离传送很容易出现衰减、干扰的问题。因此才出现了二次变送器和标准的电传送信号。二次变送器的作用就是将传感器的信号放大成为符合工业传输标准的电信号,如0-5V、0-10V或4-20mA(其中用得最多的是4-20mA)。而变送器通过对放大器电路的零点迁移以及增益调整,可以将标准信号准确的对应于物理量的被检测范围,如0-100℃或-10-100℃等等。这是用硬件电路对物理量进行数学变换。中央控制室的仪表将这些电信号驱动机械式的电压表、电流表就能显示被测的物理量。对于不同的量程范围,只要更换指针后面的刻度盘就可以了。更换刻度盘不会影响仪表的根本性质,这就给仪表的标准化、通用性和规模化生产带来的无可限量的好处。 3、数字化仪表 到了数字化时代,指针式显示表变成了更直观、更精确的数字显示方式。在数字化仪表中,这种显示方式实际上是用纯数学的方式对标准信号进行逆变换,成为大家习惯的物理量表达方式。这种变换就是依靠软件做数学运算。这些运算可能是线性方程,也可能是非线性方程,现在的电脑对这些运算是易如反掌。 4、信号变换中的数学问题 信号的变换需要经过以下过程:物理量-传感器信号-标准电信号-A/D转换-数值显示。 声明:为简单起见,我们在此讨论的是线性的信号变换。同时略过传感器的信号变换过程。 假定物理量为A,范围即为A0-Am,实时物理量为X;标准电信号是B0-Bm,实时电信号为Y;A/D转换数值为C0-Cm,实时数值为Z。

实验五 4选1多路复用器和4位比较器设计与仿真

实验五 4选1多路复用器和4位比较器设计与仿真 班级信息安全一班姓名邓一蕾学号201208060106指导老师袁文澹 一、实验目的 1.熟悉QuartusⅡ仿真软件的基本操作,并用VHDL语言和逻辑图设计四选一多路选择器,4位比较器。 二、实验内容 1.参照芯片74LS153的电路结构,用逻辑图和VHDL语言设计四选一多路复用器; 2.从QuartusⅡ中取7485器件(比较器)进行仿真与分析;用VHDL语言设计4位比较器,接着进行仿真与分析,电路逻辑结构参照芯片74x85 三.实验原理 1.(1)四选一多路选择器逻辑电路的原理 4选1多路选择器,GN为使能端,AB为选择通道控制端,c0,c1,c2,c3为数据输入端.Y 为输出端。当GN为1时,y=0; 当GN为0,AB=00时,Y为c0的值; 当GN为0,AB=01时,Y为c1的值; 当GN为0,AB=10时,Y为c2的值; 当GN为0,AB=11时,Y为c3的值; (2)通过实验实现逻辑的原理 2.(1)4位比较器的逻辑电路图

A0,B0,A1,B1,A2,B2,A3,B3为相比较数输入端,ALBI,AEBI,AGBI为级联输入端ALBO,AEBO,AGBO为比较输出端。 2)通过实验实现逻辑的逻辑功能表为

四、实验方法与步骤 实验方法: 采用基于FPGA进行数字逻辑电路设计的方法。 采用的软件工具是QuartusII软件仿真平台,采用的硬件平台是Altera EPF10K20TI144_4的FPGA试验箱。 1.4选1多路选择器实验步骤: 编写源代码。打开QuartusⅡ软件平台,点击File中得New建立一个文件。编写的文件名与实体名一致,点击File/Save as以“.vhd”为扩展名存盘文件。 2、按照实验箱上FPGA的芯片名更改编程芯片的设臵。操作是点击Assign/Device,选取芯片的类型。建议选“Altera的EPF10K20TI144_4” 3、编译与调试。确定源代码文件为当前工程文件,点击Complier进行文件编译。编译结果有错误或警告,则将要调试修改直至文件编译成功。 4、波形仿真及验证。在编译成功后,点击Waveform开始设计波形。点击“insert the node”,按照程序所述插入A0,A1,A2,A3,B0,B1,B2,B3,ALBI,AEBI,AGEBI,ALBO,AEBO,AGBO14个节点(A0,A1,A2,A3,B0,B1,B2,B3为输入节点,y为输出节点)。设臵A0,A1,A2的输入波形,在仿真启动之前,需要设臵两个重要的参数——End Time 和Grid Size 步骤:点击Edit->End Time ->2.0us;Edit-.>Grid Time ->100.0ns,点击输入信号,然后选择左边的波形编辑工作栏中count value 给一个输入的激励信号,OK返回,点击保存按钮保存为sjw_duolu。建立仿真网表:Proccessing->Generate Functional Sumulation Nelist .选择Assignments->Settings->Simulation mode->Fouctional选择激励文件”sjw_duolu”.选择Processing—>star Simulation启动仿真,可以看到功能仿真图。 5、时序仿真。首先进行全编译,编译成功后,点击Assignments 的settings的simulation mode:Timing,仿真成功后即出带延时的波形图。 6、FPGA芯片编程及验证。 (1)分配管脚:assignment—>Pins在Location中选择合适的输入输出管脚并进行编译。 (2)下载验证:Tools->Programmer进入下载窗口Hardware Setup —>ByteBlaster->Start->OK

200 PLC模拟量的AD和DA转换

S7-200 PLC模拟量的A/D和D/A转换以及编程的探讨 对于初学PLC编程的人来说,模拟量输入、输出模块的编程要比用位变量进行一般的程序控制难的多,因为它不仅仅是程序编程,而且还涉及到模拟量的转换公式推导与使用的问题。因为A/D、D/A转换之间的对应关系,S7-200 CPU内部用数值表示外部的模拟量信号,两者之间有一定的数学关系。这个关系就是模拟量/数字量的换算关系。 例如,当输入模拟量设定为电流信号的输入,在S7-200 CPU内部,电流信号0-20mA对应于数值范围0-32000;但是对于4-20mA的信号,对应的内部数值为6400-32000。那可能有学员不知道这个6400是怎么算来的?其实这里的数字量和电流是成正比的,只需要按比例去算就可以了。因为电流信号0-20mA对应于数值范围0-32000,那么1mA 对应的数字量就是32000/20=1600,而4mA对应的数字量就等于4*1600,等于6400。(这里是以S7-200 PLC来作为举例,对于S7-200 SMART S7-300 400等等PLC也是同理的,只是对应的数字量不同而已) 不同的传感变送器,通过不同的模拟量输入输出模块进行转换,其转换公式是不一样的,如果选用的转换公式不对,编出的程序肯定是错误的。比如有3个温度传感变送器:(1)、测温范围为0~200 ,变送器输出信号为4~20ma (2)、测温范围为0~200 ,变送器输出信号为0~5V (3)、测温范围为-100 ~500 ,变送器输出信号为4~20ma (1)和(2)二个温度传感变送器,测温范围一样,但输出信号不同,(1)和(3)传感变送器输出信号一样,但测温范围不同,这3个传感变送器既使选用相同的模拟量输入模块,其转换公式也是各不相同。 下面选用S7-200的模拟量输入输出模块EM235的参数为依据对上述的3个温度传感器进行转换公式的推导: 对于(1)和(3)传感变送器所用的模块,其模拟量输入设置为0~20ma电流信号,20ma 对应数子量=32000,4 ma对应数字量=6400; 对于(2)传感变送器用的模块,其模拟量输入设置为0~5V电压信号,5V对应数字量=32000,0V对应数字量=0; 这3种传感変送器的转换公式该如何推导的呢?这要借助与数学知识帮助,请看下图:

相关文档
相关文档 最新文档