文档库 最新最全的文档下载
当前位置:文档库 › multisim时钟的设计与仿真

multisim时钟的设计与仿真

multisim时钟的设计与仿真
multisim时钟的设计与仿真

multisim-时钟的设计与仿真

————————————————————————————————作者:————————————————————————————————日期:

[键入公司名称] [键入文档标题]

目录

1.设计要求

2. 总电路图及工作原理

3.电路组成介绍

3.1脉冲形成电路

3.2分频电路

3.3 60进制计数器及显示电路3.4 24进制计数器及显示电路

3.5 时间设置电路

4. 电路的测试

5. 分析与评价

附录:元器件清单

1.设计要求

本次设计任务是要求用Multisim10.0软件设计一个数字时钟电路,即用数字显示出时间结果。设计要求如下:

(a)以数字形式显示时、分、秒。

(b)小时计时采用24进制的计时方式,分、秒采用60进制的计时方式。

(c)要求能够对时钟进行时间设置。

2. 总电路图及工作原理

数字时钟的总电路图如下所示:

数字时钟工作原理:数字时钟电路由555振荡发生器、分频器、两个60进制分秒计数器、一个24进制小时计数器以及6个数字显示器组成。电路工作时由555振荡器产生频率为1000HZ的脉冲,经由三个74LS90D构成的千分频的分频器得到频率为1HZ的脉冲,脉冲输入计数电路(分秒由60进制计数电路计数,小时由24进制计数电路计数),然后将相应数字显示到数字显示器上即所要显示的时间。另外,时钟的时间设置可以通过三个与单刀双掷开关相连的时钟信号发生器来实现。

电路的设计流程图如下所示

3.电路组成介绍

3.1 脉冲形成电路

脉冲形成电路为555计时器组成的振荡电路。考虑到时钟对精度要求较高,故在时钟电路中由555振荡电路产生频率为1KHz 的脉冲信号,然后经过千分频的分频器分频产生1Hz 脉冲。555振荡器的参数确定:T=0.7(R1+R2)C=1ms ,f=1/t=1KHZ ,故可令R1=5k Ω,R2=5K Ω,C=100nF 。(以上设置在实际仿真的时候速度过慢,故在实际仿真中)

脉冲形成电路如下所示:

时显示分显 秒计

时计

分计

秒显时间设 分频脉冲形

3.2 分频电路

分频电路是三个用十进制计数器74LS90串联而成的千分频的分频器。分频原理是在74LS90的输出端子中,从低位输入10个脉冲才从高位输出1个脉冲,这样一片74LS90就可以起十分频的作用,三个74LS90串联就构成了千分频的电路,输出的便是1HZ的标准脉冲信号。

分频电路如下所示:

3.3 60进制计数器及显示电路

在数字时钟电路中,分与秒的计数电路是由两个74LS90D组成的60进制的计数电路实现的。在下图中,U9是十进制计数器,U9的QD作为十进制的进位信号,74ls90计数器是十进制异步计数器,用反馈归零的方法实现十进制计数,U8和与非门构成六进制,其中与非门输出进位信号。

3.4 24进制计数器及显示电路

在数字时钟电路中,小时的计数电路是由两个74LS90D组成的24进制的计数电路实现的。如下图所示,计数电路由U16和U6俩部分组成。当时个位U6计数为4,U16计数为2时,两片74ls90复零,从而构成24进制计数。

3.5 时间设置电路

时间设置电路由一个单刀双掷开关与一个脉冲计数器组成。用单刀双掷开关切换计数功能与调时功能,另一端接计数器的脉冲输入端,开关置于函数发生器这一端便可以校时,置于计数器的进位端便是计时。不校正时间时开关都应打在与非门的那一端,校时时可用键盘操作改变开关的状态。如此,在时钟运行前及正在运行的过程中均可实现调时功能。

时间设置线路图如下所示(双掷开关左打调时,右打计数):

调时

4.电路的测试

(a)555振荡器产生的脉冲

(b)经过分频器产生的脉冲

(c)计数电路的测试

经测试得知所设计的数字时钟电路能够成功的实现时钟的计时功能,而且能够成功的对所设计的时钟进行调时(调时顺序按三个双掷开关从左到右)。

5.电路分析与评价

所设计的时钟电路工作时由555振荡器产生频率为1000HZ 的脉冲,经由三个74LS90D 构成的千分频的分频器得到频率为1HZ 的脉冲,脉冲输入计数电路后将相应数字显示到数字显示器上即所要显示的时间。

经电路测试得知所设计的数字时钟电路能够成功的实现时钟的计时功能,而且能够成功的对所设计的时钟进行调时,达到了设计的要求。

电路的不足之处在于实际仿真过程中数字的变化速度比理论值要慢得多,所以调试后的电路很难达到秒计数器变化速度为标准的一秒钟。

附录:元器件清单

名称型号数量LED数码管LED 6 计数器74LS90N 9 与非门7400N 2 定时器555 1 信号发生器AC_VOLTAGE 3 电阻 3 电容 2 单刀双掷开关 3

数字时钟的Multisim设计与仿真

电子电路 设计和仿真 Multisim 学院: 专业和班级: 姓名:学号: 数字时钟的Multisim 设计和仿真 一、设计和仿真要求 学习综合数字电子电路的设计、实现和调试 1.设计一个24或12小时制的数字时钟。 2.要求:计时、显示精确到秒;有校时功能。采用中小规模集成电路设计。 3.发挥:增加闹钟功能。 二、总体设计和电路框图 1.设计思路 1).由秒时钟信号发生器、计时电路和校时电路构成电路。 2).秒时钟信号发生器可由555定时器构成。 3).计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 4).校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。2.电路框图

二、子模块具体设计 1.由555定时器构成的1Hz 秒时钟信号发生器。 由下面的电路图产生1Hz 的脉冲信号作为总电路的初输入时钟脉冲 图2.时钟信号发生电路 2. 分、秒计时电路及显示部分 -VC K ? OTT - ? THR ? T£L1 - O0&I H L : ? r GND ,,, 48kQ R2 48kQ —10uF 士伯 DtiF ....... ■ ■ j - ■ ■ >100Q

在数字钟的控制电路中,分和秒的控制都是一样的,都是由一个十进制计数器和一个六进制计数器串联而成的,在电路的设计中我采用的是统一的器件74LS160D 的反馈置数法来实现十进制功能和六进制功能,根据74LS160D的 结构把输出端的0110 (十进制为6)用一个与非门74LS00引到CLR端便可置0,这样就实现了六进制计数。 由两片十进制同步加法计数器74LS160级联产生,采用的是异步清零法显示部分用的是七段数码管和两片译码器74LS48D 。 图3.分秒计时电路 3.时计时电路及显示部分 由两片十进制同步加法计数器74LS160级联产生,采用的是同步置数法, u1输出端为0011 (十进制为3)与u2输出端0010 (十进制为2)经过与非门接两片的置数端。 显示部分用的是七段数码管和两片译码器74LS48D 。

模电multisim仿真设计

模拟电子技术基础课程设计说明书题目: Multisim仿真应用 学生:明 学号:1 院(系):理学院 专业:应用物理学 指导教师:冠强

2014 年 6 月 10日

目录 第0节背景 (1) 第1节Multisim应用举例——二极管的特性的研究 (1) 第2节 Multisim应用举例——Rb变化对Q点和电压放大倍数的影响 (2) 第3节 Multisim应用举例——直接耦合多级放大电路的调试 (4) 第4节 Multisim应用举例——消除互补输出级交越失真方法的研究 (6) 第5节 Multisim应用举例——静态工作点稳定电路频率影响的研究 (8) 第6节 Multisim应用举例——交流负反馈对放大倍数稳定性的影响 (10) 设计体会及今后改进意见 (12) 参考文献 (12)

第0节背景 Multisim是一个完整的设计工具系统,提供了一个非常大的元件数据库,并提供原理图输入接口、全部的数模Spice仿真功能、VHDL设计接口与仿真功能、 FPGA/CPLD综合、RF设计能力和后处理功能还可以进行从原理图到PCB布线工具包(如:Ultiboard)的无缝隙数据传输。 随着计算机的飞速发展,以计算机辅助设计为基础的电子设计自动化技术(EDA)已经成为电子学领 域的重要学科。EDA工具使电子电路和电子系统的设计产生了革命性的变化,它摒弃了靠硬件调试 来大道设计目标的繁琐过程,实现了硬件设计软件化。 Multisim具有齐全的元器件模型参数库和比较齐全的仪器仪表库,可模拟实验室的操作进行 各种实验。学习Multisim可以提高仿真能力、综合能力和设计能力,还可进一步提高实践能力。 第1节Multisim应用举例——二极管的特性的研究 1.1 题目 研究二极管对直流量和交流量表现的不同特点。 1.2 仿真电路 仿真电路如图1-1所示。因为只有在低频小信号下二极管才能等效成一个电阻所以图流信号的频率为1kHz、数值为10mV(有效值)。由于交流信号很小,输出电压不失真故可以认为直流电压表(测平均值)的读书是电阻上直流电压值。

模拟电子技术课程设计(Multisim仿真)

《电子技术Ⅱ课程设计》 报告 姓名 xxx 学号 院系自动控制与机械工程学院 班级 指导教师 2014 年 6 月18日

目录 1、目的和意义 (3) 2、任务和要求 (3) 3、基础性电路的Multisim仿真 (4) 3.1 半导体器件的Multisim仿真 (4) 3.11仿真 (4) 3.12结果分析 (4) 3.2单管共射放大电路的Multisim仿真 (5) 3.21理论计算 (7) 3.21仿真 (7) 3.23结果分析 (8) 3.3差分放大电路的Multisim仿真 (8) 3.31理论计算 (9) 3.32仿真 (9) 3.33结果分析 (9) 3.4两级反馈放大电路的Multisim仿真 (9) 3.41理论分析 (11) 3.42仿真 (12) 3.5集成运算放大电路的Multisim仿真(积分电路) (12) 3.51理论分析 (13) 3.52仿真 (14) 3.6波形发生电路的Multisim仿真(三角波与方波发生器) (14) 3.61理论分析 (14) 3.62仿真 (14) 4.无源滤波器的设计 (14) 5.总结 (18) 6.参考文献 (19)

一、目的和意义 该课程设计是在完成《电子技术2》的理论教学之后安排的一个实践教学环节.课程设计的目的是让学生掌握电子电路计算机辅助分析与设计的基本知识和基本方法,培养学生的综合知识应用能力和实践能力,为今后从事本专业相关工程技术工作打下基础。这一环节有利于培养学生分析问题,解决问题的能力,提高学生全局考虑问题、应用课程知识的能力,对培养和造就应用型工程技术人才将能起到较大的促进作用。 二、任务和要求 本次课程设计的任务是在教师的指导下,学习Multisim仿真软件的使用方法,分析和设计完成电路的设计和仿真。完成该次课程设计后,学生应该达到以下要求: 1、巩固和加深对《电子技术2》课程知识的理解; 2、会根据课题需要选学参考书籍、查阅手册和文献资料; 3、掌握仿真软件Multisim的使用方法; 4、掌握简单模拟电路的设计、仿真方法; 5、按课程设计任务书的要求撰写课程设计报告,课程设计报告能正确反映设计和仿真结果。

Multisim14仿真设计流程

Multisim 14仿真设计流程 用一个案例(模拟小信号放大及数字计数电路)来演示 Multisim 仿真大体流程,这个案例来自Multisim 软件自带 Samples,Multsim 也有对应的入门文档(Getting Started)。只要用户安装了Multsim 软件,就会有这样的一个工程在软件里,这样就不需要再四处搜索案例来学习。 执行菜单【File】→【Open samples…】即可弹出“打开文件”对话框,从中找到“Getting Started” 下的“Getting Started Final”(Final 为最终完成的仿真文件)打开即可。 此案例的难度与复杂度都不高,因为过于复杂的电路会让 Multisim 仿真初学者精力过于分散,难以从宏观上把握 Multisim 电路仿真设计流程。在这个案例中,我们对于 Multisim 软件的使用操作(如调用元器件、连接元器件、编辑参数、运行仿真)都会做尽量详细的描述,以期达到尽快让新手熟悉 Multisim 目的,这也是为更简要阐述后续案例打基础。 本书在行文时描述的 Multisim 步骤操作,均使用菜单方式,事实上,大多数操作可以直接使用工具栏上的快捷按钮,读者可自行熟悉,执行的结果与菜单操作都是一致的 1 电路原理 我们将要完成的仿真电路如下图所示:

2 一切不以原理为基础的仿真都是耍流氓,所以这里我们简要阐述一下原理:以 U4-741 运算放大 器为核心构成的同相比例放大器,对来自 V1 的交流信号进行放大(其中,R4 为可调电阻,可对放大 倍数进行调整)。放大后的信号,一路送入示波器进行观测,另一路作为时钟脉冲信号送入 U2-74LS190N(可预置同步 BCD 十进制加减法计数器)进行计数,计数结果输出为十进制,经 U3-74LS47N(BCD-七段数码管译码器)译码后驱动七段数码管进行数字显示。另外 U2-74LS190N 配置为 加法器,同时将行波时钟输出第 13 脚(RCO)驱动发光二极管。 左下区域有两个单刀双掷开关进行计数控制,S1 接到 U2 的第 4 脚(CTEN)计数使能控制引脚,低有效,当 S1 切换到接地(GND)时,计数才开始,否则计数停止;S2 接到 U2 的第 11 脚(LOAD),也是低有效,当 S2 切换到接地(GND)时,就把预置数(ABCD)赋给(Q A Q B Q C Q D),这里电路配置的(ABCD)都是接地(GND),因此相当于 S2 开关为清零功能。 右上区域还有三个旁路电路,左侧的插座与仿真没有关系。 新建仿真文件 1、首先我们打开 Multsim 软件,如下图所示,默认有一个名为 Design1 的空白文件已经打开在 工作台(WorkSpace)中。

multisim 电路仿真 课程设计

4.1 仿真设计 1、用网孔法和节点法求解电路。 如图4.1-1所示电路: 3Ω (a)用网孔电流法计算电压u的理论值。 (b)利用multisim进行电路仿真,用虚拟仪表验证计算结果。(c)用节点电位法计算电流i的理论值。 (d)用虚拟仪表验证计算结果。 解: 电路图: (a) i1=2 解得 i1=2 5i2-31-i3=2 i2=1 i3=-3 i3=-3 u=2 v (b)如图所示: (c)列出方程 4/3 U1- U2=2 解得 U1=3 v U2=2 v 2A1Ω _ + 1Ω 2V - 3A 图4.1-1 i

2U 1- U 2=2 i=1 A 结果:计算结果与电路仿真结果一致。 结论分析:理论值与仿真软件的结果一致。 2、叠加定理和齐次定理的验证。 如图4.1-2所示电路: (a)使用叠加定理求解电压u 的理论值; (b)利用multisim 进行电路仿真,验证叠加定理。 (c)如果电路中的电压源扩大为原来的3倍,电流源扩大为原来的2倍,使用齐次定理,计算此时的电压u ; (d)利用multisim 对(c )进行电路仿真,验证齐次定理。 电路图: (a ) I 1=2 7 I 2-2 I 1- I 3=0 3 I 3- I 2-2 I 4=0 解得 U 1=7(V ) I 4=-3 U 1 U 1=2(I 1- I 2) 如图所示电压源单独作用时根据网孔法列方程得: 3 I 1-2 I 2- I 3= 4 I 2=-3 U 2 7 I 3 - I 1=0 解得 U 2=9(V ) U 2=4-2 I 3 所以 U= U 1+ U 2=16(V ) (b )如图所示。 2Ω 1Ω 2Ω 4Ω 2A 3u + 4V - + u - 图4.1-2

最全面的Multisim14仿真设计流程指南

1 第2章 Multisim 仿真流程 本节我们用一个案例(模拟小信号放大及数字计数电路)来演示Multisim 仿真大体流程,这个案例来自Multisim 软件自带Samples ,Multsim 也有对应的入门文档(Getting Started ),只要用户安装了Multsim 软件,就会有这样的一个工程在软件里,这样就不需要再四处搜索案例来学习。 执行菜单【File 】→【Open samples…】即可弹出“打开文件”对话框,从中找到“Getting Started ”下的“Getting Started Final ”(Final 为最终完成的仿真文件)打开即可 此案例的难度与复杂度都不高,因为过于复杂的电路会让Multisim 仿真初学者精力过于分散,难以从宏观上把握Multisim 电路仿真设计流程。在这个案例中,我们对于Multisim 软件的使用操作(如调用元器件、连接元器件、编辑参数、运行仿真)都会做尽量详细的描述,以期达到尽快让新手熟悉Multisim 目的,这也是为更简要阐述后续案例打基础。 本书在行文时描述的Multisim 步骤操作,均使用菜单方式,事实上,大多数操作可以直接使用工具栏上的快捷按钮,读者可自行熟悉,执行的结果与菜单操作都是一致的 2.1 电路原理 我们将要完成的仿真电路如下图所示:

2 一切不以原理为基础的仿真都是耍流氓,所以这里我们简要阐述一下原理:以U4-741运算放大器 为核心构成的同相比例放大器,对来自V1的交流信号进行放大(其中,R4为可调电阻,可对放大倍数 进行调整)。放大后的信号,一路送入示波器进行观测,另一路作为时钟脉冲信号送入U2-74LS190N(可 预置同步BCD十进制加减法计数器)进行计数,计数结果输出为十进制,经U3-74LS47N(BCD-七段 数码管译码器)译码后驱动七段数码管进行数字显示。另外U2-74LS190N配置为加法器,同时将行波时 钟输出第13脚(RCO)驱动发光二极管。 左下区域有两个单刀双掷开关进行计数控制,S1接到U2的第4脚(CTEN)计数使能控制引脚, 低有效,当S1切换到接地(GND)时,计数才开始,否则计数停止;S2接到U2的第11脚(LOAD),也是低有效,当S2切换到接地(GND)时,就把预置数(ABCD)赋给(Q A Q B Q C Q D),这里电路配置 的(ABCD)都是接地(GND),因此相当于S2开关为清零功能。 右上区域还有三个旁路电路,左侧的插座与仿真没有关系。 2.1.1 新建仿真文件 1、首先我们打开Multsim软件,如下图所示,默认有一个名为Design1的空白文件已经打开在工作 台(WorkSpace)中。

基于multisim的电路设计与仿真

收稿日期:2004-05-19 第22卷 第5期 计 算 机 仿 真 2005年5月 文章编号:1006-9348(2005)05-0109-02 基于multisim 的电路设计与仿真 张晶,李心广 (广东外语外贸大学信息科学技术学院,广东广州,510420) 摘要:电子设计自动化(E DA )技术是电子设计领域的一场革命,改变了以变量估算和电路实验为基础的电路设计方法。Mul 2 tisim 是一个专门用于电子线路仿真与设计的E DA 工具软件,能完成从电路的仿真设计到电路版图生成的全过程,从而为电 子系统的设计、电子产品的开发和电子系统工程提供了一种全新的手段和便捷的途径。该文介绍了它的主要功能、特点,并结合电子电路实例叙述其设计、仿真与分析的具体运用。关键词:电子设计自动化;电路设计;仿真分析中图分类号:TP391.9 文献标识码:A Multisim B ased Schematic Design and Simulation ZHANGJing ,LI X in -guang (Department of C omputer Science &T echnology ,G uangdong Univercity of F oreign S tudies ,G uangzhou G uangdong 510420,China ) ABSTRACT:The technology of E DA (E lectronic Design Automation )is an innovation in the field of electronic design.It trans forms the circuit design measure based on variable estimate and circuit experiment.Multisim is a special s oftware for electronic circuit design and simulation.It can complete the whole process from circuit simulation designed to circuit diagram created ,thereby providing a new and convenient approach for electronic system exploitation ,electronic product and elec 2tronic system engineering.This article introduces the main functions and features of multisim.And in this article ,with ex 2amples of electronic circuit ,s ome exercises about the design and applications in practice are illustrated.KE YWOR DS :E lectronic design automation ;Circuit design ;S imulation analyse 1 引言 目前随着国际上电子工业和计算机技术的飞速发展,电子产品已与计算机系统紧密相连,电子产品的智能化日益完善,电路的集成度越来越高,而产品的更新周期却越来越短。以定量估算和电路试验为基础的电路设计方法已经无法适应当前激烈竞争的市场。电子设计自动化(E DA )技术,使得电子线路的设计人员能在计算机上完成电路的功能设计、逻辑设计、性能分析、时序测试直至印刷电路板的自动生成,其中包括印制板的温度分布和电磁兼容性测试,代表着 现代电子系统设计的技术潮流。 [1]2 multisim2001的主要功能及特点 Multisim 是加拿大IIT (Interactive Image T echnologies )公司 在EW B (E lectronics W orkbench )基础上推出的电子电路仿真设计软件,是一个专门用于电子线路仿真与设计的E DA 工具软件。作为Windows 下运行的个人桌面电子设计工具, Multisim 是一个完整的集成化设计环境。它具有如下特点: 1)具有直观的图形界面:整个操作界面就像一个电子实 验工作台,绘制电路所需的元器件和仿真所需的测试仪器均可直接拖放到屏幕上,轻点鼠标可用导线将它们连接起来,软件仪器的控制面板和操作方式都与实物相似,测量数据、波形和特性曲线如同在真实仪器上看到的一样。 2)具有一个庞大的元气件库。具备如信号源、基本元气 件、模拟集成电路、数字集成电路、指示部件、控制部件等各种元气件。 ()具有强大的仿真能力:既可对模拟电路或数字电路分 别进行仿真,也可进行数模混合仿真,尤其是新增了射频 (RF )电路的仿真功能。仿真失败时会显示出错信息、提示可 能出错的原因,仿真结果可随时储存和打印。 4)强大的分析功能。提供了14种仿真分析方法,如直 流工作点分析、交流分析、瞬态分析、傅立叶分析、噪声分析、失真分析、直流扫描分析、参数扫描分析、零极点分析、传递函数分析、温度扫描分析、后处理分析等。5)强大的虚拟仪器功能。如示波器、万用表、瓦特计、扫 描仪、失真仪、网络分析仪、逻辑转换仪、字信号发生器等。 6)VH D L/Verilog 设计输入和仿真。Multisim 软件将 — 901—

数字时钟的Multisim设计与仿真

数字电子技术课程设计 学院:信息工程学院 班级:电气二班 姓名:刘君宇张迪王应博 学号:10 16 09

数字时钟的Multisim设计和仿真 一、设计和仿真要求 学习综合数字电子电路的设计、实现 ? 基础调研 ? 应用设计、逻辑设计、电路设计 ? 用Multisim 软件验证电路设计 ? 分析电路功能是否符合预期,进行必要的调试修改 ? 撰写Project 报告,提交Multisim 二、总体设计和电路框图 图1. 数字钟电路框图 三、具备功能 ?24小时计时; ?动态显示时、分、秒各位; ?快速校时、校分; ?整点报时; ?按照设定时间启动闹钟; ?为闹钟设置彩铃; ??扩展功能:显示星期; 四、整体电路原理图 整体电路共分为五大模块:脉冲产生部分、计数部分、闹钟部分、显示部分、校时部分。主要由矩形波产生器、秒计数器、分计数器、时计数器、LED七段显示数码管、时间校准电路,闹钟电路构成。

五、结论 由脉冲发生器、秒计数器、分计数器、时计数器、LED显示数码管设计了数字时钟电路,经过仿真得出较理想的结果,说明电路图及思路是正确的,可以实现所要求的基本功能:计时、显示精确到秒、时分秒校时。 下页附设计感想和分工

整点报时设计体会 刘君宇10(分工:完成电路设计,整点报时,闹钟,扩展功能) 通过对软件Multisim的学习和使用,进一步加深了对数字电路的认识。在仿真过程中遇到许多困难,但通过自己的努力和同学的帮助都一一克服了。首先,连接电路图过程中,数码管不能显示,后经图形放大后才发现是电路断路了。其次,布局的时候因元件比较多,整体布局比较困难,因子电路不如原电路直观,最后在不断努力下,终于不用子电路布好整个电路。 调试时有的器件在理论上可行,但在实际运行中就无法看到效果,所以得换不少器件,有时无法找出错误便更换器件重新接线以使电路正常运行。在整个设计中,计数器的接线比较困难,反复修改了多次,在认真学习其用法后采用归零法和置数法设计出60进制和24进制的计数器。 同时,在最后仿真时,预置的频率一开始用的是1hz,结果仿真结果反应很慢,后把频率加大,这才在短时间内就能看到全部结果。总之,通过这次对数字时钟的设计与仿真,为以后的电路设计打下良好的基础,一些经验和教训,将成为宝贵的学习财富。

模电multisim仿真设计

模拟电子技术基础课程设计说明书题目:Multisim仿真应用 学生:明 学号:1 院(系):理学院 专业:应用物理学 指导教师:冠强 2014 年 6 月 10日

目录 第0节背景 (1) 第1节Multisim应用举例——二极管的特性的研究 (1) 第2节Multisim应用举例——Rb变化对Q点和电压放大倍数的影响 (2) 第3节Multisim应用举例——直接耦合多级放大电路的调试 (4) 第4节Multisim应用举例——消除互补输出级交越失真方法的研究 (5) 第5节Multisim应用举例——静态工作点稳定电路频率影响的研究 (7) 第6节Multisim应用举例——交流负反馈对放大倍数稳定性的影响 (10) 设计体会及今后改进意见 (12) 参考文献 (12)

第0节背景 Multisim是一个完整的设计工具系统,提供了一个非常大的元件数据库,并提供原理图输入接口、全部的数模Spice仿真功能、VHDL设计接口与仿真功能、 FPGA/CPLD综合、RF设计能力和后处理功能还可以进行从原理图到PCB布线工具包(如:Ultiboard)的无缝隙数据传输。 随着计算机的飞速发展,以计算机辅助设计为基础的电子设计自动化技术(EDA)已经成为电子学领 域的重要学科。EDA工具使电子电路和电子系统的设计产生了革命性的变化,它摒弃了靠硬件调试 来大道设计目标的繁琐过程,实现了硬件设计软件化。 Multisim具有齐全的元器件模型参数库和比较齐全的仪器仪表库,可模拟实验室的操作进行 各种实验。学习Multisim可以提高仿真能力、综合能力和设计能力,还可进一步提高实践能力。 第1节Multisim应用举例——二极管的特性的研究 1.1 题目 研究二极管对直流量和交流量表现的不同特点。 1.2 仿真电路 仿真电路如图1-1所示。因为只有在低频小信号下二极管才能等效成一个电阻所以图流信号的频率为1kHz、数值为10mV(有效值)。由于交流信号很小,输出电压不失真故可以认为直流电压表(测平均值)的读书是电阻上直流电压值。

Multisim仿真课程设计

西北师范大学知行学院 2009—2010年度第2学期Multisim8仿真软件实践考查选题专业:电控级别:2009 班级:本科任课教师:胡亚琦 本课程共42个选题,下列题目设计制作的具体内容,用Multisim8及以上版本工具实现全部或部分内容。 1 编码器的功能(难易程度:易选题学生:桂荣霞) 要求 建立74LS148仿真电路,仿真74LS148的功能 2 译码器(难易程度:易选题学生:胡延伟) 要求 建立74LS138仿真电路,仿真74LS138的功能 3数据选择器要求(难易程度:易选题学生:马晓玲)要求 建立表决器的仿真电路,仿真表决器的功能 4数值比较器(难易程度:中选题学生:薄雍巍)要求 建立数值判断电路的仿真电路,仿真数值判断电路的功能 5组合逻辑电路的竞争(难易程度:难选题学生:林琴)要求 1)竞争冒险仿真电路 2)竞争冒险仿真电路的输出 3)竞争-冒险现象的消除 4)增加冗余项消除竞争-冒险的电路 5)消除竞争-冒险后电路的输出 6基本触发器的功能(难易程度:中选题学生:陈潇旭)1)基本触发器的仿真分析 ----基本RS触发器仿真电路 2)基本RS触发器的输入输出波形 7时钟触发器的仿真(难易程度:中选题学生:朱建军)要求 1) JK触发器的功能 2) JK触发器的状态转换图 3) D触发器的功能 4) D触发器的状态转换图 5)用JK触发器构成T触发器 6) T触发器的输入输出波形(T=1) 7) T触发器的输入输出波形(T=0) 8)用D触发器构成JK触发器 9)用D触发器构成JK触发器

8寄存器和移位寄存器的应用(难易程度:难选题学生:陈瑞)要求 1)了解双向移位寄存器74LS194的功能 双向移位寄存器74LS194具有存数、双向移位、置零和保持等功能。 2)双向移位寄存器74LS194的应用 ----用74LS194组成的串行加法器 3)仿真电路中寄存器的输出波形 9计数器的应用(难易程度:中选题学生:)1)二进制计数器74LS161的功能 2)了解二进制计数器74LS161的应用 用74LS161构成的可变进制计数器,仿真输出波形 10寄存器和移位寄存器的应用(难易程度:中选题学生:马玲)1)用计数器和数据选择器构成的序列信号发生器 2)分析序列信号发生器的工作原理 3)电路产生的序列信号仿真分析 11用555定时器构成的多谐振荡器(难易程度:中选题学生:何玲)1)用555定时器构成施密特反向器 2)仿真施密特反向器的输入输出波形 12用555定时器构成的单稳态触发器(难易程度:中选题学生:谷松)仿真分析单稳态触发器 13 D/A转换器(难易程度:中选题学生:鲁胜) 1)电路的仿真输出波形 2) D/A转换器--倒T形电阻网络D/A转换器(自动输入) 3)仿真电路的输出波形 14 交通管理系统设计(难易程度:难选题学生:郭奎) 1)红绿灯管理 ①当东西方向绿灯亮时(表示允许东西方向车辆直行或左转弯通过十字路口),南北方向应亮红灯;反之,当南北方向亮绿灯时,东西方向应亮红灯。 ②设有“自动/手动”开关对信号灯进行控制,当开关位置在“自动”时,东西方向或南北方向红绿信号应能每隔一定时间交替地显示,红绿信号灯显示时间相等,且显示时间能在20秒~60秒范围内按10秒间隔由人工设定。 ③在交替之前,从第五秒开始黄灯以2HZ频率闪动至交替时结束。 ④当开关位置在“手动”时,应能人工控制两个方向的红绿灯的交替显示(交替前不要求黄灯闪动)。由“手动”转入“自动”时,红信号或绿信号先转至何方向无要求。 ⑵时间牌管理 15数字时钟的设计(难易程度:中选题学生:陈赓黄凯宋辉)1)显示功能:具有“时”、“分”、“秒”的十进制数字显示(“时”从0~23)。 2)校时功能:当刚接通电源或数字时钟走时有偏差,应能手动校时。 3)整点报时:当时钟计时到整点时,能进行整点报时。 16工业混料器的设计难易程度:难选题学生:朱绪昌)1)在混料器料罐空时,由启动按钮启动混料器,自动完成从进料到混合料完全排出的全过程。 2)如果在需要启动时,混料器由于料罐不空而无法启动,则在使用检修按钮进行料罐

实验三组合逻辑电路multisim仿真设计

实验四组合逻辑电路Multisim仿真设计 一、 实验目的 1、掌握组合逻辑电路的特点 2、利用逻辑转换仪对组合逻辑电路进行分析与设计 二、实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时候的输出仅仅取决于同一时刻的输入信号的取值组合。 根据电路确定功能,是分析组合逻辑电路的过程,其步骤如下:组合逻辑电路→推导→逻辑表达式→化简→最简表达式→列表→真值表→分析→确定电路功能。 根据要求求解电路,是设计组合逻辑电路的过程,其步骤如下:问题提出→分析→真值表→归纳→逻辑表达式→化简变换→逻辑图。 逻辑转换仪是Multisim中常用的数字逻辑电路分析和设计仪器。 三、仿真例题 1、利用逻辑转换仪对已知逻辑电路进行分析 电路图如下: 图待分析逻辑电路 分析结果如下: 图逻辑分析仪输出结果 四、思考题

1、设计一个四人表决电路,即如果3人或3人以上同意,则通过;否则被否决。用与非门实现。 解:用ABCD分别表示四人的表决结果,1表示同意,0表示不同意。则利用逻辑分析仪可以输入如下真值表,并得到如下表达式: L=ACD+ABD+ABC+BCD 图逻辑分析仪得到的真值表和表达式 得到如下电路图: A B C 14 11 13 1 12 3 2 10 9 6 8 7 5 4 图利用逻辑分析仪得到的与非门设计的表决电路 2、利用逻辑转换仪对下图所示电路进行分析。 XLC1 A B U1A 74LS04D U1B 74LS04D U1C 74LS04D U2A 74LS00D U2B 74LS00D 2 U3A 74LS10D U3B 74LS10D 1 4 3 6 5 7 8 9 10

相关文档