文档库 最新最全的文档下载
当前位置:文档库 › 数字逻辑期末复习题

数字逻辑期末复习题

数字逻辑期末复习题
数字逻辑期末复习题

一、选择题(每小题2

分,共20分)

1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10

2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。

A .A

B F = B .

C AB F +=

C .C A AB F +=

D . C B AB F +=

3. 数字系统中,采用____C____可以将减法运算转化为加法运算。

A . 原码

B .ASCII 码

C . 补码

D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++= 功能相等的表达式为___C_____。 A . D C B A F +++= B .

D C B A F +++=

C .

D C B A F = D .D C B A F ++=

7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。

_____D_____。 _____A_____。 C . 加法器

5”,则译码器输出a ~g 应为

____C______。

A . 0100100

B .1100011

C . 1011011

D .0011011 分) 1对应的电压范围是___N 2____个输出端。

、_T_等四种类型。

16.对于D 触发器,若现态Q n = 0,要使次态Q n+1

=0,则输入D=__0_____。

17.请写出描述触发器逻辑功能的几种方式___特性表、特性方程、状态图、波形图_________。

18.多个集电极开路门(OC 门)的输出端可以 _____线与_______。

19.T 触发器的特性方程是___n n Q T Q ⊕=+1_____,当T=1时,特性方程为___n n Q Q =+1_____,这时触发器可以用来作___2分频器_____。

20.构造一个十进制的异步加法计数器,需要多少个 __4____触发器。计数器的进位Cy 的频率与计数器时钟脉冲CP 的频率之间的关系是____1﹕10_________。

报信号,F=1表示报警,F=0表示不报警。

画出逻辑电路图

四、综合应用题(每小题10分,共20分)

25.3-8译码器74LS138逻示,S1、2S 、辑符号如图所

3S 为使能控制端。试用两片74LS138构成一个4-16译码器。要求画出连接图说明

设计方案。

解:

26. 构成的寄存

器,寄存器?设它初始状态Q 2 Q 1在加入1个CP 脉冲后,Q 2 Q 少?此后再加入一个CP 等于多少?

解: 时钟方程 激励方程

n

Q D 20= ,

n Q D 0

1=,

n Q D 12=

状态方程

n n Q D Q 2010==+,n n Q D Q 0111==+,n n Q D Q 1212==+

状态表

画出状态图 一、 选择题

1.一位十六进制数可以用 C 位二进制数来表示。

A . 1

B . 2

C . 4

D . 16

2.十进制数25用8421BCD 码表示为 B 。

A .10 101

B .0010 0101

C .100101

D .10101 3. 以下表达式中符合逻辑运算法则的是 D 。 A.C ·C=C 2 B.1+1=10 C.0<1 D.A+1=1 4. 当逻辑函数有n 个变量时,共有 D 个变量取值组合? A. n B. 2n C. n 2 D. 2n 5.A+BC= C 。

A .A +

B B.A +

C C.(A +B )(A+C ) D.B+C 6.在何种输入情况下,“与非”运算的结果是逻辑0。

D A .全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1 7. 以下电路中可以实现“线与”功能的有 C 。

A.与非门

B.三态输出门

C.集电极开路门

D. C MO S 与非门 8.以下电路中常用于总线应用的有 A 。

10.一个16选一的数据选择器,其地址输入(选择控制输入)端有 C 个。

A.1

B.2

C.4

D.16

11.四选一数据选择器的数据输出Y 与数据输入X i 和地址码A i 之

间的逻辑表达式为Y = A 。

A.3X A A X A A X A A X A A 0120

1101001+++ B.001X A A C.101X A A

D.3X A A 01

12.一个8选一数据选择器的数据输入端有 E 个。 A.1 B.2 C.3 D.4 E.8 13.在下列逻辑电路中,不是组合逻辑电路的有 D 。 A.译码器 B.编码器 C.全加器 D.寄存器 14.八路数据分配器,其地址输入端有 C 个。 A.1 B.2 C.3 D.4 E.8

16.N 个触发器可以构成能寄存 B 位二进制数码的寄存器。 A.N -1 B.N C.N +1 D.2N 17.在下列触发器中,有约束条件的是 C 。

A.主从JK F/F

B.主从 D F /F

C.同步RS F/F (时钟脉冲)

D.边沿 D F/F

18.一个触发器可记录一位二进制代码,它有 C 个稳态。

A.0

B.1

C.2

D.3

E.4 19.存储8位二进制信息要 D 个触发器。

A.2

B.3

C.4

D.8

20.对于D 触发器,欲使Q n +1=Q n ,应使输入D = C 。

A.0

B.1

C.Q

D.Q

21.对于J K 触发器,若J=K ,则可完成 C 触发器的逻辑功能。 A.RS B.D C.T D.T ˊ

22.欲使D 触发器按Q n +1=Q n 工作,应使输入D= D 。

A.0

B.1

C.Q

D.Q 23.下列触发器中,没有约束条件的是 BD 。

A.基本R S 触发器

B.主从R S 触发器

C.同步R S 触发器

D.边沿D 触发器

24.为实现将J K 触发器转换为D 触发器,应使 A 。

A.J=D,K=D

B. K =D ,J=D

C.J=K=D

D.J=K=D 25.边沿式D 触发器是一种 C 稳态电路。 A.无 B.单 C.双 D.多

26.把一个五进制计数器与一个四进制计数器串联可得到 D 进制计数器。

A.4

B.5

C.9

D.20 27.下列逻辑电路中为时序逻辑

A.变量译码器

B.加法器 据选择器

28. N个触发器可以构成计数器最大计数长度(进制数)为 D

A.N

B.2N

C.N2

D.2N

29. N个触发器可以构成能寄存 B 位二进制数码的寄存器。

A.N-1

B.N

C.N+1

D.2N

30.同步时序电路和异步时序电路比较,其差异在于后者 B 。

A.没有触发器

B.没有统一的时钟脉冲控制

C.没有稳定状态

D.输出只与内部状态有关

31.一位8421BC D码计数器至少需要 B 个触发器。

A.3

B.4

C.5

D.10

32.欲设计0,1,2,3,4,5,6,7这几个数的计数器,如果设计

合理,采用同步二进制计数器,最少应使用 B 级触发器。

A.2

B.3

C.4

D.8

33.8位移位寄存器,串行输入时经 D 个脉冲后,8位数码全部移入寄存器中。

A.1

B.2

C.4

D.8

34.用二进制异步计数器从0做加法,计到十进制数178,则最少

需要 D 个触发器。

A.2

B.6

C.7

D.8

E.10

二、判断题(正确打√,错误的打×)

1. 方波的占空比为0.5。(√)

2. 8421码1001比0001大。(×)

3. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。(√)4.八进制数(18)8比十进制数(18)10小。(×)

5.当传送十进制数5时,在8421奇校验码的校验位上值应为1。(√)

6.在时间和幅度上都断续变化的信号是数字信号,语音信号不是数字信号。(√)7.占空比的公式为:q = t w / T,则周期T越大占空比q越小。(√)

8.十进制数(9)10比十六进制数(9)16小。(×)

9.逻辑变量的取值,1比0大。(×)。

10.异或函数与同或函数在逻辑上互为反函数。(√)。

11.若两个函数具有相同的真值表,则两个逻辑函数必然相等。(√)。12.若两个函数具有不同的真值表,则两个逻辑函数必然不相等。(√)13.若两个函数具有不同的逻辑函数式,则两个逻辑函数必然不相等。(×)14.逻辑函数两次求反则还原,逻辑函数的对偶式再作对偶变换也还原为它本身。(√)

15.逻辑函数Y=A B+A B+B C+B C已是最简与或表达式。(×)

10.对逻辑函数Y=A B+A B+B C+B C利用代入规则,令A=BC代入,得Y= BC B+BC B+B C+B C=B C+B C成立。(×)

16.当TTL与非门的输入端悬空时相当于输入为逻辑1。(√)

17.普通的逻辑门电路的输出端不可以并联在一起,否则可能会损坏器件。(V)18.三态门的三种状态分别为:高电平、低电平、不高不低的电压。(×)19.一般TTL门电路的输出端可以直接相连,实现线与。(×)

20.TTL OC门(集电极开路门)的输出端可以直接相连,实现线与。(√)21.共阴接法发光二极管数码显示器需选用有效输出为高电平的七段显示译码器来驱动。(×)

22.数据选择器和数据分配器的功能正好相反,互为逆过程。(√)

23.用数据选择器可实现时序逻辑电路。(×)

24.D触发器的特性方程为Q n+1=D,与Q n无关,所以它没有记忆功能。(×)

25.RS触发器的约束条件R S=0表示不允许出现R=S=1的输入。(√)

26.同步触发器存在空翻现象,而边沿触发器和主从触发器克服了空翻。(√)

27.若要实现一个可暂停的一位二进制计数器,控制信号A=0计数,A=1保持,可选用T触发器,且令T=A。(×)

28.同步时序电路由组合电路和存储器两部分组成。(√)

27.组合电路不含有记忆功能的器件。(√)

28.时序电路不含有记忆功能的器件。(×)

29.同步时序电路具有统一的时钟CP控制。(√)

30.异步时序电路的各级触发器类型不同。(×)

31.计数器的模是指构成计数器的触发器的个数。(×)

32.计数器的模是指对输入的计数脉冲的个数。(√)

三、填空题

1.数字信号的特点是在时间上和幅度上都是断续变化的,其高电平和低电

平常用 1 和0 来表示。

8. 逻辑代数又称为布尔代数。最基本的逻辑关系有与、或、

非三种。常用的几种导出的逻辑运算为与非、与或、与或非、异或、同或。

9. 逻辑函数的常用表示方法有真值表、表达式、逻辑图、卡诺图。

10. 逻辑代数中与普通代数相似的定律有交换律、结合律、分配律。摩根定律又称为反演律。

11. 逻辑代数的三个重要规则是代入规则、反演规则、对偶规则。

12.逻辑函数F=A+B+C D的反函数F= AB(C+D)。

13.逻辑函数F=A(B+C)·1的对偶函数是A+BC+0 。

14.已知函数的对偶式为B A+BC

C ,则它的原函数为。

D

15. 集电极开路门的英文缩写为O C 门,工作时必须外加

电源和电阻。

16.O C门称为集电极开路门,多个O C门输出端并联到一起可

18.一个基本R S触发器在正常工作时,它的约束条件是R+S=1,则它不允许输入S= 0且R=0 的信号。

19.触发器有两个互补的输出端Q、Q,定义触发器的1状态为Q=1 Q=0 ,0状态为Q=0 Q=1 ,可见触发器的状态指的是Q端的状态。

20.一个基本R S触发器在正常工作时,不允许输入R=S=1的信号,因此它的约束条件是RS=0 。

21.在一个C P脉冲作用下,引起触发器两次或多次翻转的现象称为触发器的空翻,触发方式为主从式或边沿式的触发器不会出现这种现象。

22.半导体数码显示器的内部接法有两种形式:共阴极接法和共阳极接法。

23.对于共阳接法的发光二极管数码显示器,应采用低电平驱动的七段显示译码器。

24.数字电路按照是否有记忆功能通常可分为两类:组合逻辑电路、时序逻辑电路(有记忆动能) 。

25.由四位移位寄存器构成的顺序脉冲发生器可产生 4 个顺序脉冲。

26.时序逻辑电路按照其触发器是否有统一的时钟控制分为同步时序电路和异步时序电路。

四、综合题

1.用公式法和用卡诺图化简逻辑函数(第一章例题及作业,重点:1-19)

2.组合逻辑电路的分析和设计(第三章第二节写真值表、卡诺图、逻辑图)

3.用译码器(74LS138)或数据选择器(74LS151)实现逻辑函数(3.

4.1及3.

5.2,

例题3-8、3-11,习题3-16、3-17)

4.在给定的触发器的逻辑电路图和输入信号波形,画出触发器输出波形(主要

是:D触发器和JK触发器、习题:4-7、4-8)

5.时序电路的分析(第五章第二节,例题5-1、5-3,习题5-4、5-6)

6.同步式集成计数器74LS161实现任意进制的计数器(用复位法或置位法)

(5.4.5节,例题:5-6、5-7,注意会改其他进制数计数器)

一、选择题

1.以下代码中为无权码的为 。

A . 8421BCD 码

B . 5421BCD 码

C . 余三码

D . 格雷码 2.以下代码中为恒权码的为 。

A .8421BCD 码

B . 5421BCD 码

C . 余三码

D . 格雷码 4.十进制数25用8421BCD 码表示为 。

A .10 101

B .0010 0101

C .100101

D .10101 5.与十进制数(53.5)10等值的数或代码为 。

A .(0101 0011.0101)8421BCD

B .(35.8)16

C .(110101.1)2

D .(65.4)8 6.与八进制数(47.3)8等值的数为:

A. (100111.011)2

B.(27.6)16

C.(27.3 )16

D. (100111.11)2

7. 常用的B C D 码有 。

A.奇偶校验码

B.格雷码

C.8421码

D.余三码

8.与模拟电路相比,数字电路主要的优点有 。

A.容易设计

B.通用性强

C.保密性好

D.抗干扰能力强 9. 以下表达式中符合逻辑运算法则的是 。 A.C ·C =C 2 B.1+1=10 C.0<1 D.A +1=1 10. 逻辑变量的取值1和0可以表示: 。

A.开关的闭合、断开

B.电位的高、低

C.真与假

D.电流的有、无 11. 当逻辑函数有n 个变量时,共有 个变量取值组合? A. n B. 2n C. n 2 D. 2n 12. 逻辑函数的表示方法中具有唯一性的是 。

A .真值表 B.表达式 C.逻辑图 D.卡诺图 13.F=A

B +BD+CDE+A D= 。

A.D B A +

B.D B A )(+

C.))((D B D A ++

D.))((D B D A ++ 14.逻辑函数F=)(B A A ⊕⊕ = 。

A.B

B.A

C.B A ⊕

D. B A ⊕ 16.A+BC= 。

A .A +

B B.A +

C C.(A +B )(A +C ) D.B +C 17.在何种输入情况下,“与非”运算的结果是逻辑0。 A .全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1 18.在何种输入情况下,“或非”运算的结果是逻辑0。

A .全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为1 三、填空题

7. 分析数字电路的主要工具是 ,数字电路又称作 。

8. 常用的BCD 码有 、 、 、 等。常用的可靠性代码有 、

等。

10. 逻辑代数又称为 代数。最基本的逻辑关系有 、 、 三种。

常用的几种导出的逻辑运算为 、 、 、 、 。 11. 逻辑函数的常用表示方法有 、 、 。

12. 逻辑代数中与普通代数相似的定律有 、 、 。摩根定律又称为 。 13. 逻辑代数的三个重要规则是 、 、 。 14.逻辑函数F=A +B+C D 的反函数F = 。 15.逻辑函数F=A (B+C )·1的对偶函数是 。 16.添加项公式AB+A C+BC=AB+A C 的对偶式为 。 17.逻辑函数F=A B C D +A+B+C+D= 。 18.逻辑函数F=AB B A B A B A +++= 。 一、选择题

1.下列表达式中不存在竞争冒险的有 。

A.Y =B +A B

B.Y =A B +B C

C.Y =A B C +A B

D.Y =(A +B )A D

2.若在编码器中有50个编码对象,则要求输出二进制代码位数为 位。 A.5 B.6 C.10 D.50

3.一个16选一的数据选择器,其地址输入(选择控制输入)端有 个。 A.1 B.2 C.4 D.16

4.下列各函数等式中无冒险现象的函数式有 。

A.B A AC C B F ++=

B.B A BC C A F ++=

C.B A B A BC C A F +++=

D.C A B A BC B A AC C B F +++++=

E.B A B A AC C B F +++= 5.函数C B AB C A F ++=,当变量的取值为 时,将出现冒险现象。 A.B =C =1 B.B =C =0 C.A =1,C =0 D.A =0,B =0 D.3X A A 01

11.101键盘的编码器输出 位二进制代码。 A.2 B.6 C.7 D.8

12.用三线-八线译码器74L S 138实现原码输出的8路数据分配器,应 。 A.A ST =1,B ST =D ,C ST =0 B. A ST =1,B ST =D ,C ST =D

C.A ST =1,B ST =0,C ST =D

D. A ST =D ,B ST =0,C ST =0

13.以下电路中,加以适当辅助门电路, 适于实现单输出组合逻辑电路。 A.二进制译码器 B.数据选择器 C.数值比较器 D.七段显示译码器 15.用三线-八线译码器74L S 138和辅助门电路实现逻辑函数Y =122A A A +,应 。

A.用与非门,Y =765410Y Y Y Y Y Y

B.用与门,Y =32Y Y

C.用或门,Y =32Y Y +

D.用或门,Y =765410Y Y Y Y Y Y +++++

二、判断题(正确打√,错误的打×)

9. 优先编码器的编码信号是相互排斥的,不允许多个编码信号同时有效。( ) 10. 编码与译码是互逆的过程。( )

11. 二进制译码器相当于是一个最小项发生器,便于实现组合逻辑电路。( )

12.液晶显示器的优点是功耗极小、工作电压低。()

13.液晶显示器可以在完全黑暗的工作环境中使用。()

14.半导体数码显示器的工作电流大,约10mA左右,因此,需要考虑电流驱动能力问题。()

15.共阴接法发光二极管数码显示器需选用有效输出为高电平的七段显示译码器来驱动。()

16.数据选择器和数据分配器的功能正好相反,互为逆过程。()

17.用数据选择器可实现时序逻辑电路。()

18.组合逻辑电路中产生竞争冒险的主要原因是输入信号受到尖峰干扰。()

一、选择题

5.对于T触发器,若原态Q n=1,欲使新态Q n+1=1,应使输入T=。

A.0

B.1

C.Q

D.Q

8.欲使J K触发器按Q n+1=Q n工作,可使J K触发器的输入端。

A.J=K=0

B.J=Q,K=Q

C.J=Q,K=Q

D.J=Q,K=0

E.J=0,K=Q

9.欲使J K触发器按Q n+1=Q n工作,可使J K触发器的输入端。

A.J=K=1

B.J=Q,K=Q

C.J=Q,K=Q

D.J=Q,K=1

E.J=1,K=Q

10.欲使J K触发器按Q n+1=0工作,可使J K触发器的输入端。

A.J=K=1

B.J=Q,K=Q

C.J=Q,K=1

D.J=0,K=1

E.J=K=1

11.欲使J K触发器按Q n+1=1工作,可使J K触发器的输入端。

A.J=K=1

B.J=1,K=0

C.J=K=Q

D.J=K=0

E.J=Q,K=0

12.欲使D触发器按Q n+1=Q n工作,应使输入D=。

A.0

B.1

C.Q

D.Q

13.下列触发器中,克服了空翻现象的有。

A.边沿D触发器

B.主从R S触发器

C.同步R S触发器

D.主从J K触发器

14.下列触发器中,没有约束条件的是。

A.基本R S触发器

B.主从R S触发器

C.同步R S触发器

D.边沿D触发

15.描述触发器的逻辑功能的方法有。

A.状态转换真值表

B.特性方程

C.状态转换图

D.状态转换卡诺图

二、判断题(正确打√,错误的打×)

1.D触发器的特性方程为Q n+1=D,与Q n无关,所以它没有记忆功能。()2.R S触发器的约束条件R S=0表示不允许出现R=S=1的输入。()

3.主从J K触发器、边沿J K触发器和同步J K触发器的逻辑功能完全相同。

()

4.若要实现一个可暂停的一位二进制计数器,控制信号A=0计数,A=1保持,可选用T触发器,且令T=A。()

5.由两个T T L或非门构成的基本R S触发器,当R=S=0时,触发器的状态为不定。

6.对边沿J K触发器,在C P为高电平期间,当J=K=1时,状态会翻转一次。

()

三、填空题

2.一个基本R S触发器在正常工作时,它的约束条件是R+S=1,则它不允许输入S=且R=的信号。

3.触发器有两个互补的输出端Q、Q,定义触发器的1状态为,0状态为,可见触发器的状态指的是端的状态。4.一个基本R S触发器在正常工作时,不允许输入R=S=1的信号,因此它的约束条件是。

5.在一个C P脉冲作用下,引起触发器两次或多次翻转的现象称为触发器的,触发方式为式或式的触发器不会出现这种现象。

一、选择题

1.同步计数器和异步计数器比较,同步计数器的显着优点是。

A.工作速度高

B.触发器利用率高

C.电路简单

D.不受时钟C P控制。2.把一个五进制计数器与一个四进制计数器串联可得到进制计数器。

A.4

B.5

C.9

D.20

3.下列逻辑电路中为时序逻辑电路的是。

A.变量译码器

B.加法器

C.数码寄存器

D.数据选择器

4.N个触发器可以构成最大计数长度(进制数)为的计数器。

A.N

B.2N

C.N2

D.2N

5.N个触发器可以构成能寄存位二进制数码的寄存器。

A.N-1

B.N

C.N+1

D.2N

6.五个D触发器构成环形计数器,其计数长度为。

A.5

B.10

C.25

D.32

7.同步时序电路和异步时序电路比较,其差异在于后者。

A.没有触发器

B.没有统一的时钟脉冲控制

C.没有稳定状态

D.输出只与内部状态有关

8.一位8421B C D码计数器至少需要个触发器。

A.3

B.4

C.5

D.10

9.欲设计0,1,2,3,4,5,6,7这几个数的计数器,如果设计合理,采用

同步二进制计数器,最少应使用级触发器。

A.2

B.3

C.4

D.8

10.8位移位寄存器,串行输入时经个脉冲后,8位数码全部移入寄存器中。

A.1

B.2

C.4

D.8

11.用二进制异步计数器从0做加法,计到十进制数178,则最少需要个

触发器。

A.2

B.6

C.7

D.8

E.10

12.某电视机水平-垂直扫描发生器需要一个分频器将31500H Z 的脉冲转换为

60H Z 的脉冲,欲构成此分频器至少需要 个触发器。 A.10 B.60 C.525 D.31500

13.某移位寄存器的时钟脉冲频率为100K H Z ,欲将存放在该寄存器中的数左

移8位,完成该操作需要 时间。

A.10μS

B.80μS

C.100μS

D.800m s

14.若用J K 触发器来实现特性方程为AB Q A Q

n 1

n +=+,则J K 端的方程为 。 A.J =A B ,K =B A + B.J =A B ,K =B A C.J =B A +,K =A B D.J =B A ,K =A B 15.要产生10个顺序脉冲,若用四位双向移位寄存器CT74LS194来实现,需要 片。 A.3 B.4 C.5 D.10 个触发器。

A.2

B.3

C.4

D.10 二、判断题(正确打√,错误的打×)

1.同步时序电路由组合电路和存储器两部分组成。( ) 2.组合电路不含有记忆功能的器件。( ) 3.时序电路不含有记忆功能的器件。( ) 4.同步时序电路具有统一的时钟CP 控制。( ) 5.异步时序电路的各级触发器类型不同。( )

6.环形计数器在每个时钟脉冲CP 作用时,仅有一位触发器发生状态更新。( ) 7.环形计数器如果不作自启动修改,则总有孤立状态存在。( ) 8.计数器的模是指构成计数器的触发器的个数。( ) 9.计数器的模是指对输入的计数脉冲的个数。( )

10.D 触发器的特征方程Q n +1=D ,而与Q n 无关,所以,D 触发器不是时序电路。( ) 11.在同步时序电路的设计中,若最简状态表中的状态数为2N ,而又是用N

级触发器来实现其电路,则不需检查电路的自启动性。( )

12.把一个5进制计数器与一个10进制计数器串联可得到15进制计数器。( ) 13.同步二进制计数器的电路比异步二进制计数器复杂,所以实际应用中较

少使用同步二进制计数器。( )

14.利用反馈归零法获得N 进制计数器时,若为异步置零方式,则状态S N 只

是短暂的过渡状态,不能稳定而是立刻变为0状态。( ) 三、填空题

1.寄存器按照功能不同可分为两类: 寄存器和 寄存器。 2.数字电路按照是否有记忆功能通常可分为两类: 、 。 3.由四位移位寄存器构成的顺序脉冲发生器可产生 个顺序脉冲。

4.时序逻辑电路按照其触发器是否有统一的时钟控制分为 时序电路和

时序电路。

一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10

2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。

A .A

B F = B .

C AB F +=

C .C A AB F +=

D . C B AB F +=

3. 数字系统中,采用____C____可以将减法运算转化为加法运算。

A . 原码

B .ASCII 码

C . 补码

D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++= 功能相等的表达式为___C_____。 A . D C B A F +++= B .

D C B A F +++=

C .

D C B A F = D .D C B A F ++=

7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______

_____D_____。 _____A_____。 C . 加法器

5”,则译码器输出a ~g 应为

____C______。

A . 0100100

B .1100011

C . 1011011

D .0011011 __2.4-5____V 。

、_T_等四种类型。 D=__0_____。

17.请写出描述触发器逻辑功能的几种方式___特性表、特性方程、状态图、波形图_________。

18.多个集电极开路门(OC 门)的输出端可以 _____线与_______。

19.T 触发器的特性方程是___n n Q T Q ⊕=+1_____,当T=1时,特性方程为___n n Q Q =+1_____,这时触发器可以用来作___2分频器_____。

20.构造一个十进制的异步加法计数器,需要多少个 __4____触发器。计数器的进

位Cy 的频率与计数器时钟脉冲CP 的频率之间的关系是____1﹕10_________。 21.用卡诺图化简下列逻辑函数

210

解: 时钟方程 激励方程

n

Q D 20= ,

n Q D 0

1=,

n Q D 12=

状态方程

n n Q D Q 2010

=

=+,n n Q D Q 0111

=

=+,n n Q D Q 1212

=

=+

状态表

画出状态图 一 填空题 (每空1分,共15分)

( 3 )个触发器。

6 要使JK 触发器按'*Q Q =工作,则JK 触发器的激励方程应写为(1,1 );如果用D 触发器实现这一转

换关系,则D 触发器的激励方程应写为( Q ’

)。

二 判断题 (每问2分,共10分) 1 ( T )计数模为2n 的扭环计数器所需的触发器为n 个。 2 ( F )若逻辑方程AB=AC 成立,则B=C 成立。 3 ( F )一个逻辑函数的全部最小项之积恒等于1。 4 ( T )CMOS 与非门的未用输入端应连在高电平上。

5 ( F )Mealy 型时序电路的输出只与当前的外部输入有关。 Fig.1 三 (16分)

1 化简下列函数(共6分,每题3分)

1) ()()∑=15,13,11,10,9,8,7,3,2,0,,,m D C B A F 2)

()()()∑∑+=14,5,3,013,12,10,8,6,1,,,d m D C B A F

2.分析下图所示的同步时序电路(10分)

1)写出触发器的输入激励表达式,输出表达式和状态转换表(或状态转换图); 2)说明该电路实现什么功能? 解: (a) (b) X=0时,电路为四进制加法计数器;

X=1时,电路为四进制减法计数器。

四 分析下图所示的组合逻辑电路(12分) 1 画出输出F 对输入Z 的定时关系图(假定输入X 和Y 都保持高电平,且每个门电路都有一个单位时间的延迟); 2 判定该电路是否存在有静态冒险问题,如果存在静态冒险,

请消除它。

解:

(a) (b)

六 1 2 解:

(1) 上图红线 (2)

X

Z

Y

七 请设计一个序列信号发生器,该电路能在时钟信号CP 作用下,周期性输出“110010”的串行序列信号;要求采用最小风险方法设计;采用D 触发器和必要门电路实现并画出电路原理图。(10分)。 解: 八 设计一个101序列信号检测器,当输入连续出

101

时,输出为1,

否则输出为0;要求电路无风险(输入不可重叠,不做图)。(10分)

例: 输入 1 1 0 1 0 1 0 0 1 1 0 1 1 1 0

输出 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 解:状态转换表及编码

态方程和

态图

态可以回循环,该电启动。

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷 考试时间:110 分钟 XXXX 学院 ______________系 级 班 姓名 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 得分 评卷人 装 订 线 内 请 勿 答 题

6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 D C B A F+ + + =B.D C B A F+ + + = D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为 _____D_____。 A.500KHz B.200KHz C.100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 装

2014-2015数字逻辑试卷

数字电路与逻辑设计期末考试样题 一、TO FILL YOUR ANSWERS IN THE “( )”(1’ X 5) 1. An unused CMOS NAND gate input should be tied to logic ( ) or another input. 2. DAC can proportionally convert ( ) input to analog signal output. 512 3. A truth table for a ( ) input, 4-output combinational logic function could be stored in a 4 EPROM. 4. The RCO output of 74X163 is asserted if and only if the enable signal ( )is asserted and the counter is in state …1111?. 5. If the signed-magnitude representation is(001101)2 for one number, then it?s 8-bit two?s complement representation is()2. 二、Single selection problems: there is only one correct answer in the following questions.(2’ X 5) 1、An 8-output demultiplexer has ( ) select inputs. A. 2 B. 3 C. 4 D. 5 2、For a logical function ,which representation as follows is one and only(唯一). ( ) A. logic expression B. logic diagram C. truth table D. timing diagram 3、In general, to complete the same function, compared to a MOORE machine, the MEAL Y machine has ()。 A. more states B. fewer states C. more flip-flops D. fewer flip-flops 4、To design a “1000001” serial sequence generator by shift registers, at least needs a ( ) bit shift register. A. 2 B. 3 C. 4 D.5 5、The following logic expressions is equal, and the hazard-free one is ( ). A. F=B?C?+AC+A?B B. F=A?C?+BC+AB? C. F=A?C?+BC+AB?+A?B D. F=B?C?+AC+A?B+BC+AB?+A?C?

数字逻辑期末复习题

一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++= 功能相等的表达式为 ___C_____。 A . D C B A F +++= B . D C B A F +++=

C . D C B A F = D .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 Q 的频率为_____D_____。 . 100KHz D .50KHz 9.下列器件中,属于时序部件的是_____A_____。 A . 计数器 B . 译码器 C . 加法器 D .多路选择器 10.下图是共阴极七段LED 数码管显示译码器框图,若要显示字符“5”,则译码器输出a ~g 应为____C______。 A . 0100100 B .1100011 C . 1011011 D .0011011

《数字逻辑与数字系统》期末考试试题(A)

北京邮电大学2008——2009学年第一学期 《数字逻辑与数字系统》期末考试试题(A ) 考试注意事项 一、学生参加考试须带学生证或学院证明,未带者不准进入考场。学生必须按照监考教师指定座位就坐。 二、书本、参考资料、书包等物品一律放到考场指定位置。 三、学生不得另行携带、使用稿纸,要遵守《北京邮电大学考场规则》,有考场违纪或作弊行为者,按相应规定严肃处理。 四、学生必须将答题内容做在试题答卷上,做在草稿纸上一律无效。 五、学生的姓名、班级、学号、班内序号等信息由教材中心统一印制。 考试 课程 数字逻辑与数字系统 考试时间 2009年1月13日 题号 一 二 三 四 五 六 七 八 总分 满分 10 20 10 10 10 12 14 14 得分 阅卷 教师 一、选择题(每小题1分,共10分。) 1. )D C B (B )B A (A F ++++==( ) A . B B . A+B C . 1 D .AB 2.同步时序电路和异步时序电路比较,其差异在于后者( ) A . 没有稳定状态 B . 没有统一的时钟脉冲控制 C . 输入数据是异步的 D . 输出数据是异步的 3.(10000011)8421BCD 的二进制码为( )。 A .( 10000011)2 B .(10100100)2 C . (1010011)2 D . (11001011)2 4. 74LS85为四位二进制数据比较器。如果只进行4位数据比较,那么三个级联输入端ab 、a=b 应为( )。 A . ab 接地,a=b 接地 B . ab 接高电平,a=b 接高电平 C . ab 接高电平,a=b 接地

数字逻辑试卷(A)

数字逻辑试卷(A) 1.十进制数的特点一是( 逢十进一 ),二是有( 十 )个计数符号 2. R 进制数R M 可表示为R M = ∑--=1 n m i i a ( R ) 3. (15.75)10 =( 1111.11 )8 4. (562)10 =( 0101 0110 0010 )8421BCD 5.(1010.10)2 =( (A.8)16 )16 6.每位八进制数可用( 3 )位二进制数表示。 7.ASCII 码是七位二进制代码,最多可以表示( 128 )个字符。 8.最基本的逻辑门电路是( 与 )门、( 或 )门和( 非 )门。 9.8个变量有( 28 )个最小项。 10.施密特触发器V +≠V -称之为( 滞后 )特性。 二、判断(每小题1分,本大题10分) 1.循环码也是BCD 码。( n ) 2. 同或是异或的反。( y ) 3. 1=+ABCD D C B A ( n ) 4.组合电路是各种门电路构成的,不包含触发器。( y ) 5.二进制译码器给定输入,所有输出中只有一个是有效电平。( y ) 6.优先编码器允许多个输入同时有效。( y ) 7.边沿触发器的状态变化只能发生在CP 有效边沿到达的一瞬间,在CP 的高电平、低电平期间以及无效边沿时触发器状态不变。( y ) 8.异步时序电路无统一的时钟。( y ) 9.Mealy 型时序电路的输出是输入和现态的函数。( y ) 10.多谐振荡器需要外加触发信号才能产生矩形波输出。( n ) 三、单项选择(将正确选择的编号填入括号中,每小题1分,本大题10分) 1.下列BCD 码哪个是无权码?(B .余3码 ) 2.逻辑函数的哪种表示方式是唯一的?(B .真值表) 3.下列哪种门输出端不能直接并联?( C .普通与非门) 4.下列哪种电路在输出端可以得到输入变量的全体最小项?(A .二进制译码器 ) 5.二——十进制编码器有十个输入,有几个输出?( B.4个 )

数字逻辑电路期末考试卷及答案

- - 优质资料 期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷考试时间:110 分钟 XXXX 学院 ______________系级班 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F =B . C AB F += C .C A AB F += D .C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D .BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 得分 评卷人 装 订 线 内 请 勿 答 题

- 优 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++=功能相等的表达式为___C_____。 A .D C B A F +++=D C B A F +++= .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP 脉冲的频率为100KHZ ,则输出Q 的频率为_____D_____。 A . 500KHz B .200KHz

数字逻辑期末考试题

数字逻辑考试题 数字逻辑考试题(一) 一、填空(共17分,每空1分) 1. (1011.11)B =( ) D =( )H 2. (16)D =( )8421BCD 码。 3. 三态门的输出有 、 、 三种状态。 6. ABC C B A Y =),,( 的最简式为Y= 。 7. 由n 位寄存器组成的扭环型移位寄存器可以构成 进制计数器。 10. 四位环型计数器初始状态是1000,经过5个时钟后状态为 。 11. 在RS 、JK 、T 和D 触发器中, 触发器的逻辑功能最多。 12. 设一个包围圈所包围的方格数目为S ,消去的变量数目为N ,那么S 与N 的关系式应是 。 13. 在卡诺图化简逻辑函数时,圈1求得 的最简与或式,圈0求得 的最简与或式。 二、选择(共10分,每题1分) 1. DE BC A Y +=的反函数为Y =( )。 A. E D C B A Y +++?= B. E D C B A Y +++?= C. )(E D C B A Y +++?= D. )(E D C B A Y +++?= 3. 十进制数25用8421BCD 码表示为( )。 A. 10101 B. 0010 0101 C. 100101 D. 10101 4. 若用1表示高电平,0表示低电平,则是( )。 A. 正逻辑 B. 负逻辑 C. 正、负逻辑 D. 任意逻辑 5. 下逻辑图的逻辑表达式为( )。 A. AC BC AB Y = B. BC AC AB Y ++= C. BC AC AB Y ++= D. BC AC AB Y = 6. 三态门的逻辑值正确是指它有( )。 A. 1个 B. 2个 C. 3个 D. 4个 9. 组合逻辑电路在电路结构上的特点下列不正确的是( )。 A. 在结构上只能由各种门电路组成 B. 电路中不包含记忆(存储)元件 C. 有输入到输出的通路 D. 有输出到输入的反馈回路 10. 已知74LS138译码器的输入三个使能端(E 1=1,022==B A E E )时,地址码A 2A 1A 0=011,则输 出07~Y Y 为( )。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 三 、简答题(共15分,每题5分)

数字逻辑试卷

东莞理工学院(本科)试卷(A 卷) 2008 --2009 学年第一学期 《数字逻辑》试卷 开课单位: 计算机学院 ,考试形式:闭卷,允许带 入场 题序 一 二 三 四 五 六 七 八 总 分 得分 评卷人 一、 填空题(共40分,每题2 分) 1、十进制数126.625的二进制编码 ,十六进制编码 。 2、十进制数15的BCD 码 ,余3码 。 3、已知[N]补= 10100000,则其[N]原= 。 4、逻辑函数F=A ⊕B 和G=A ⊙B 满足关系 。(填选项代号) A 、G F = B 、G F =' C 、G F =' D 、1G F ⊕= 5、某存储器地址线为A 0-A 11,数据线为D 0-D 7,该存储器容量为 字节。 6、消除函数 的竞争冒险,应增加冗余项 。 7、实验时,TTL 芯片发烫,不可能的原因是 。 A 、插反芯片 B 、电源使用12V C 、电源与地短路 D 、电源使用4V 8 、 常 用 两 种 集 成 同 步 时 序 电 路 器 件 、 。 9、脉冲异步时序电路中,触发器状态的变化 (是、不是)同时发生的。 10、某同步时序电路,状态转移图如图所示,其功能 得分

是。 11、555定时器的功能有、、。 12、超前进位加法器与串行进位加法器相比,速度。 13、8位ADC输入满量程为10V,当输入5V电压值,数字输出量为。 14、芯片74LS32如下图所示,内含个输入端的门。 15、若要某共阴极数码管显示数字“5”,则显示代码abcdefg为。(0000000~1111111) 16、与TTL门电路相比,CMOS门电路功耗(大、小),速度(快、慢)。 17、电可擦可编程存储器是。 A.ROM B.PROM C.EPROM D.EEPROM 18、在下列电路中不是组合逻辑电路的是。 A、译码器 B、编码器 C、全加器 D、寄存器 19、触发器按结构可分为基本触发器、触发器、触 发器、触发器等。 20、与普通门电路不同,OC门在工作时需要外接和。 二、逻辑函数简化(共14分)得分

《数字逻辑与数字系统》期末考试试题

北京XX 大学2006——2007学年第一学期 《数字逻辑与数字系统》期末考试试题(A ) 一、选择题(每小题1分,共10分。) .卡诺图如图1所示,电路描述的逻辑表达式F=( )。 A. ∑m(1,2,4,5,9,10,13,15) B. ∑m(0,1,3,4,5,9,13,15) C. ∑m(1,2,3,4,5,8,9,14) D. ∑m(1,4,5,8,9,10,13,15) .在下列逻辑部件中,不属于组合逻辑部件的是( )。 A. 译码器 B. 锁存器 C.编码器 D.比较器 .八路数据选择器,其地址输入端(选择控制端)有( )个。 A. 8 B. 2 C. 3 D. 4 .将D 触发器转换为T 触发器,图2所示电路的虚框 )。 A. 或非门 B. 与非门 C. 异或门 D. 同或门 图2

A. 2n B. 2n C. n D. 2n-1 6.GAL 是指( )。 A.随机读写存储器 B.通用阵列逻辑 C.可编程逻辑阵列 D. 现场可编程门阵列 7.EPROM 的与阵列( ),或阵列( )。 A. 固定、固定 B. 可编程、固定 C. 固定、可编程 D. 可编程、可编程 8.在ispLSI 器件中,GRP 是指( )。 A. 通用逻辑块 B. 输出布线区 C. 输入输出单元 D.全局布线区 9. 双向数据总线可以采用( )构成。 A.三态门 B. 译码器 C.多路选择器 D.与非门 10.ASM 流程图是设计( )的一种重要工具。 A. 运算器 B. 控制器 C.计数器 D. 存储器 二、填空题(每小题2分,共20分) 1. 图3所示加法器构成代码变换电路,若输入信号B 3B 2B 1B 0为8421BCD 码,则输出端 S 3S 2S 1S 0为______________________代码。 2. 2:4译码器芯片如图4所示。欲将其改为四路分配器使用,应将使能端G 改为 ___________________,而地址输入端A 、B 作为_________________________。 3. 门电路的输入、输出高电平赋值为逻辑_________,低电平赋值为逻辑________,这种关系为负逻辑关系。 4. 组合逻辑电路的输出只与当时的________状态有关,而与电路_______的输入状态无关。 5.译码器实现___________________译码,编码器实现___________________译码。

2018~2019数字逻辑设计期末考题

2018~2019 数字逻辑设计期末考题 回忆 by liuxilai && 18~19年选课同学 一、简答题(6×5=30分) 1、非确定组合逻辑优化中的蕴含项、质蕴含项和实质蕴含项 2、简述SR、D、JK、D触发器的功能 3、建立时间(setup time)和保持时间的概念,并说明如何确保同步时序逻辑电路正常的工作 4、请简述摩尔机、米利机和同步米利机的概念,并说明如何将前两者转换为后者 5、状态等价的两个含义 6、状态分配的五个原则 二、组合逻辑(2×10=20分) 1、用卡诺图化简以下函数,画卡诺图,并写出蕴含项与实质蕴含项(SOP) F(A,B,C,D)=m(0,2,8,9,10,14)+d(3,4,5) 2、用QM算法化简以下函数 F(A,B,C,D)=m(0,1,2,5,6,7,8,9,10,14)+d(12) 三、时序逻辑(15×2=20) 1、用163计数器设计从1~24计数的计数器,输入为时钟信号CLK,,使能信号En,输出为进位ECO与h[4:0]。 2、使用蕴含表法化简以下状态表 四、状态机设计(30分) 1(10分)、用verilog语言,设计识别串行同步输入序列为1的同步米利机,初始状态复位时输出为0,当1的个数被3整除时输出为1. 2、(20分)米利机,当输入序列中出现011或101时,输出z0有效,序列可重叠。

1(10分)、给出以下状态图,补充完整(图中状态内的编码与输入序列无关)。 2)使用D触发器与最少的NAND与非门,根据上面的状态分配设计电路 (2分)画出二进制状态表 (8分)触发器激励输入与电路输出的卡诺图化简,并写出化简后的函数。

数字逻辑习题答案-毛法尧-第二版

数字逻辑习题答案-毛法尧-第二版

毛法尧第二版 习题一 1.1 把下列不同进制数写成按权展开式: ⑴(4517.239)10= 4×103+5×102+1×101+7×100+2×10-1+3×10-2+9×10-3 ⑵(10110.0101)2=1×24+0×23+1×22+1×21+0×20+0×2-1+1×2-2+0×2-3+1×2-4 ⑶(325.744)8=3×82+2×81+5×80+7×8-1+4×8-2+4×8-3 ⑷(785.4AF)16=7×162+8×161+5×160+4×16-1+A×16-2+F×16-3 1.2 完成下列二进制表达式的运算: 1.3 将下列二进制数转换成十进制数、八进制数和十六进制数: ⑴(1110101)2=(165)8=(75)16=7×16+5=(117)10 ⑵(0.110101)2=(0.65)8=(0.D4)16=13×16-1+4×

16-2=(0.828125)10 ⑶(10111.01)2=(27.2)8=(17.4)16=1×16+7+4×16-1=(23.25)10 1.4 将下列十进制数转换成二进制数、八进制数和十六进制数,精确到小数点后5位: ⑴(29)10=(1D)16=(11101)2=(35)8 ⑵ (0.207)10=(0.34FDF)16=(0.001101)2=(0.15176)8 ⑶ (33.333)10=(21.553F7)16=(100001.010101)2=(41.252 37)8

1.5 如何判断一个二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除? 解: 一个二进制正整数被(2)10除时,小数点向左移动一位, 被(4)10除时,小数点向左移动两位,能被整除时,应无余数,故当b1=0和b0=0时, 二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除. 1.6 写出下列各数的原码、反码和补码: ⑴0.1011 [0.1011]原=0.1011; [0.1011]反=0.1011; [0.1011] =0.1011 补 ⑵0.0000 [0.000]原=0.0000; [0.0000]反=0.0000; [0.0000]补=0.0000 ⑶-10110 [-10110]原=110110; [-10110]反=101001; [-10110]补=101010 1.7 已知[N]补=1.0110,求[N]原,[N]反和N. 解:由[N]补=1.0110得: [N]反=[N]补-1=1.0101, [N]原=1.1010,N=-0.1010 1.8 用原码、反码和补码完成如下运算:

(完整版)数字逻辑期末试卷(B卷)试题及答案

华东师范大学期末试卷(B) 2009 — 2010 学年第 一 学期 课程名称:___数字逻辑________ 学生姓名:___秦宽________________ 学 号:_2013041046__________________ 专 业:____软件工程_______ 年级/班级:13级软件工程 课程性质:公共必修、公共选修、专业必修、专业选修 一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 答案:(111 1101 1010)B = (7DA )H = (0010 0000 0001 0000)8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 答案:与逻辑 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 答案:))((C A B A F D ++= ∑=m F (5,6,7) 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 答案:'D A + 4. 从结构上看,时序逻辑电路的基本单元是 。 答案:触发器 5. JK 触发器特征方程为 。 答案:Q K JQ ''+ 6.A/D 转换的一般步骤为:取样,保持, ,编码。 答案:量化

二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 答案:B 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 答案:D 3. 为实现“线与”逻辑功能,应选用( )。 A) 与非门 B) 与门 C) 集电极开路(OC )门 D) 三态门 答案:C 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 答案:A 5. 在下列逻辑部件中,属于组合逻辑电路的是( )。 A) 计数器 B) 数据选择器 C) 寄存器 D) 触发器 答案:B 6. 已知某触发器的时钟CP ,异步置0端为R D ,异步置1端为S D ,控制输入端V i 和输出Q 的波形如图2所示,根据波形可判断这个触发器是( )。 B C

数字逻辑考题及答案

数字逻辑试题1答案 一、填空:(每空1分,共20分) 1、()8 =( )16 2、 10= ( )2 3、(FF )16= ( 255 )10 4、[X]原=,真值X= ,[X]补 = 。 5、[X]反=,[X]补= 。 6、-9/16的补码为,反码为 。 7、已知葛莱码1000,其二进制码为1111, 已知十进制数为92,余三码为1100 0101 8、时序逻辑电路的输出不仅取决于当时的输入,还取决于电路的状态 。 9、逻辑代数的基本运算有三种,它们是_与_ 、_或__、_非_ 。 10、1⊕⊕=B A F ,其最小项之和形式为_ 。AB B A F += 11、RS 触发器的状态方程为_n n Q R S Q +=+1_,约束条件为0=SR 。 12、已知B A F ⊕=1、B A B A F +=2,则两式之间的逻辑关系相等。 13、将触发器的CP 时钟端不连接在一起的时序逻辑电路称之为_异_步时序逻辑电路 。 二、简答题(20分) 1、列出设计同步时序逻辑电路的步骤。(5分) 答:(1)、由实际问题列状态图 (2)、状态化简、编码 (3)、状态转换真值表、驱动表求驱动方程、输出方程 (4)、画逻辑图 (5)、检查自起动 2、化简)(B A B A ABC B A F +++=(5分) 答:0=F 3、分析以下电路,其中RCO 为进位输出。(5分) 答:7进制计数器。 4、下图为PLD 电路,在正确的位置添 * , 设计出B A F ⊕=函数。(5分)

5分 注:答案之一。 三、分析题(30分) 1、分析以下电路,说明电路功能。(10分) 解: ∑∑==) 7,4,2,1()7,6,5,3(m Y m X 2分 A B Ci X Y 0 0 0 0 0 0 1 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 8分 2、分析以下电路,其中X 为控制端,说明电路功能。(10分) 解:XABC C B A X ABC X C B A X C B A X C B A X F ++++?+?= 4分 )()(ABC C B A X C B A X F ++⊕⊕= 4分 所以:X=0 完成判奇功能。 X=1 完成逻辑一致判断功能。 2分

数字逻辑试题

本科试卷(十) 一、选择题(每小题2分,共30分) 1.下面逻辑式中,正确的是________。 A . B. C. D. 2.逻辑函数F=A (A B )的值是________。 A . B B. A C .A B D. 3.与最小项表达式F(A,B,C)=m 0+m 2+m 5+m 7等价的逻辑函数为________。 A. F=A ⊙C B. C. D.F=∑(0,5) 4. 、 、 、 、 是五个开关,设它们闭合时为逻辑1,断开时为逻辑0,电灯 F=1时表示灯亮,F=0时表示灯灭。若在五个不同的地方控制同一个电灯的灭亮,逻辑函数F 的表达式是_______。 A . B. C. D. ⊙⊙⊙⊙ 5.用低电平为输出有效的译码器实现组合逻辑电路时,还需要_______。 A .与非门 B.或非门 C.与门 D.或门 6.逻辑函数,当变量的取值为______时,不出现冒险现象。 A .B=C=1 B .B=C=0 C .A=1 ,C=0 D .A=0,B=0 7.集成计数器的模值是固定的,但可以用_______来改变它们的模值。 A.复0和复9 B.置数法和复位法 C.改变初值法 D. 控制CP 脉冲 8.同步时序电路和异步时序电路比较,其差异在于后者_____。 A .没有触发器 B .没有统一的时钟脉冲控制 C .没有稳定状态 D .输出只与内部状态有关 9.有S1,S2两个状态,在相同输入条件下_____,可确定S1和S2不等价。 A .输出相同 B .输出不同 C .状态相同 D .状态不同 10.一个T 触发器,在T=1时,加上时钟脉冲,则触发器_____。 A .保持原态 B .置0 C .置1 D .翻转 11.下面说法错误的是_______。 A .一个RAM 有三组信号线,地址线,数据线,读/写命令线。 B .RAM 中地址线是双向的,它传送地址码,以便按地址码访问存储单元。 C .RAM 中数据线是双向的。 D .RAM 中读写命令线是单向的,它是控制线。 A B A B ⊕= 1A A +=0A A ?=1A A +=⊕⊕⊕A B C B A BC A F +=C A C A F +=1 a 2 a 3 a 4 a 5 a 12345 a a a a a 12345 a a a a a ++++12345 a a a a a ⊕⊕⊕⊕1a 2a 3a 4a 5a F A C AB BC =++

《数字逻辑电路》期末考试试题

《数字逻辑电路》期末考试试题 一、单项选择题(本大题共15小题,每小题2分,共30分) 1.下列数中最小的数是 A .(1000.1)2 B .(10.3)8 C . (8.3)10 D .(8.3)16 2.与(77)8相等的8421BCD 码数是 A .(01110111)85421BCD B .(01100011)8421BCD C .(10010011)8421BC D D .(10010110)8421BCD 3.已知输入A 、B 和输出F 的波形如图1.1所示,由此判断F 与A 、B 的逻辑关系是 A .或非 B .异或 C .与非 D .同或 4.在下列电路中,能实现C AB F +=的电路是 5.函数C B A C B D C B A F ?+++=)(的反函数是 A .[] C B A C B D C B A F +++++=))(( B .[]C B A C B D C B A F +++++=))(( C .[]C B A C D B C B A F ++++++= D . [] C B A C B D C B A F +++++=)( 6.与函数AD D C C A F ++=相等的函数式是 A .C A F = B .D C F = C .A D C F += D .AD F = 7.已知函数C B A F ++=,问使函数0=F 的输入组合是 A B C A B C A B C D A B F 图1.1

A .ABC=001 B .ABC=110 C .ABC=000 D .ABC=010 8.逻辑项CD B A ,其逻辑相邻项的是 A .BCD A B .D B C A C . D C AB D .D C B A 9.逻辑函数D B A D AB D BC CD F +++=的最简与或式是 A .F CD BD =+ B .F CD BD =+ C .F BD CD =+ D .F BD CD =+ 10.逻辑函数C B C A F +?=,其约束条件为0=AB ,它的最简与或非式是 A . F A C B C =+++ B . F A C B C =+++ C .F A C B C =+++ D .F A C B C =+++ 11.函数∑= )15,13,12,9,8,7,5,1(F 的最简与或式是 A .D A C B D C F ?++= B . D B D C C A F ?++= C .BD D C C A F ++= D .AD C B D C F ++= 12.D 触发器置1,其激励端D 的取值为 A .0=D B .1=D C .n Q D = D .1 +=n Q D 13.T 触发器组成电路如图1.2所示,它完成的功能是 A .T 触发器 B .JK 触发器 C .D 触发器 D .RS 触发器 14.n 位DAC 电路的精度为 A .121-n B .12 1-n C .n 2 D .12-n 15.555定时电路当R d =0时,其输出状态为 A .保持 B .对输出无影响 C .1 D .0 二、填空题(本大题共5小题,每小题2分,共10分) 16.余3BCD 码0111表示的十进制数是 。 17、1⊕⊕=A A F = 。 A 图1.2

2015《数字逻辑设计》期末考试-试题及参考解答

………密………封………线………以………内………答………题………无………效…… 电子科技大学2014 -2015学年第 2 学期期末考试 A 卷 课程名称:数字逻辑设计及应用考试形式:闭卷考试日期:2015年07 月13 日 考试时长:120 分钟 课程成绩构成:平时20/30 %,期中20/30 %,讨论20/0 %,期末40 % 本试卷试题由IV 部分构成,共 4 页。 I. Please fill the correct an swers in the brackets “( )” . ( 4’ X 10 = 40’ ) 1. For an 8-bit DAC (Digital Analog Converter), when the input is 010000002, its output voltage is 1.25V; If the input is 101000002 , the output voltage will be ( 3.125 或等效算式)V. 2. A state transition equation is Q*=JQ’+K’Q. If we use D flip-flop to complete the equation,the input of D flip-flop should be D = ( JQ’ + K’Q). 3. For a counter with N flip-flops, it has at most ( 2n) states. If the counter is Johnson counter, it has ( 2n) valid states. 4. After modification, an N-bit LFSR (Linear Feedback Shift Register) has (2N或2N-1) states. 5. D latch is also called transparent latch, it has two input signal D and C, one output signal Q. The relationship between the output Q and the input D is ( Q*=DC + QC' 或C=1时Q*=D, C=0时Q*=Q ). 6. To build a 64K x 8 ROM, we need ( 16 ) 4K x 8 ROM . 7. Only when both two-bit inputs A(A1,A0) and B(B1,B0) are equal, the output AEQB is 1, so the logic expression of AEQB is ( (A1⊙B1)·(A2⊙B2) 或其他等效表达). 8. State/output table for a sequential circuit is shown as table 1. X is input and Z is output. Assume that the initial state is S0, if the input sequence is X=10110110, the output sequence should be ( 100011108位或9位均算正确). 9. Transition/output table for a sequential circuit is shown in Table 2, X is input and Y is output, the sequential circuit is a modulus ( 4) up/down counter.

数字逻辑习题及答案.

数字逻辑习题及答案 一. 填空题 1.一个触发器有Q和Q两个互补的输出引脚,通常所说的触发器的输出端是指 Q ,所谓置位就是将输出端置成 1 电平,复位就是将输出端置成 0 电平。 2.我们可以用逻辑函数来表示逻辑关系,任何一个逻辑关系都可以表示为逻辑函数的与或表达式,也可表示为逻辑函数的或与表达式。 3.计数器和定时器的内部结构是一样的,当对不规则的事件脉冲计数时,称为计数器,当对周期性的规则脉冲计数时,称为定时器。 4.当我们在计算机键盘上按一个标为“3”的按键时,键盘向主机送出一个ASCII码,这个ASCII码的值为 33H 。 5.在5V供电的数字系统里,所谓的高电平并不是一定是5V,而是有一个电压范围,我们把这个电压范围称为高电平噪声容限;同样所谓的低电平并不是一定是0V,而也是有一个电压范围,我们把这个电压范围称为低电平噪声容限。 二. 选择题 1.在数字系统里,当某一线路作为总线使用,那么接到该总线的所有输出设备(或器件)必须具有 b 结构,否则会产生数据冲突。 a. 集电极开路; b. 三态门; c. 灌电流; d. 拉电流2.TTL集成电路采用的是 b 控制,其功率损耗比较大;而MOS 集成电路采用的是 a 控制,其功率损耗比较小。 a. 电压; b.电流; c. 灌电流; d. 拉电流 3.欲将二进制代码翻译成输出信号选用 b ,欲将输入信号编成二进制代码选用 a ,欲将数字系统中多条传输线上的不同数字信号按需要选择一个送到公共数据线上选用 c ,

欲实现两个相同位二进制数和低位进位数的相加运算选用 e 。 a. 编码器; b. 译码器; c. 多路选择器; d. 数值比较器; e. 加法器; f. 触发器; g. 计数器; h. 寄存器 4. 卡诺图上变量的取值顺序是采用 b 的形式,以便能够用几何 上的相邻关系表示逻辑上的相邻。 a. 二进制码; b. 循环码; c. ASCII 码; d. 十进制码 5. 根据最小项与最大项的性质,任意两个不同的最小项之积为 0 ,任意两个不同的最大项之和为 1 。 a. 不确定; b. 0 ; c. 1 三. 简答题 1.分别写出(或画出)JK 、D 、T 和T ’四个触发器的特征方程、真 值表和状态转换图。 2.请分别完成下面逻辑函数的化简。 1). )DE C B A (*)E D )(C B A (F ++++++= 答:原式)DE C B A (*)]E D ()C B A ([+++++++= )DE )C B A ((*))DE )C B A ((++++++=)) C B A ()C B A ((DE DE )C B A ()C B A (+++++++++++= DE = 2). )EH D B A )(B A )(C A )(C B A (F +++++++= 答:原式的对偶式为: ) H E (ABD AB AC C AB 'F ++++= ))H E (BD B C C B (A ++++=)] H E (BD B B C [A ++++==A A )'A ()''F (===∴原式 3.请分别说明A/D 与D/A 转换器的作用,说明它们的主要技术指标, 并进一步说明在什么情况下必须在A/D 转换器前加采样·保持电路。 答:A/D 与D/A 转换器分别能够将模拟量转换成数字量与数字量转换 成模拟量,通过这样的转换电路,能够将模拟系统和数字系统联

数字逻辑期末试题及答案

西 安 金 戈 技 术 学 校 2008年秋季学期期末考试数字逻辑电路试卷 注意事项 1. 考试时间:120 分钟。 2. 本卷依据2005年颁布的《技工类院校考试要求》命制。 3. 请首先按要求在试卷的规定位置填写您的姓名、准考证号和所在班级。 4. 请仔细阅读各种题目的回答要求,在规定的位置填写您的答案。 5. 不要在试卷上乱写乱画,不要在试卷上填写无关的内容。 一. 判断: 1.数字电路抗干扰能力强的原因是只传递1和0的数字信息.. ( ) 2.BCD 码就是8421BCD 码. ( ) 3.卡诺图是真值表示的另一种形式,具有唯一性. ( ) 4.二极管可组成与门电路,但不能组成或门电路. ( ) 5.三极管非门电路中的三极管主要工作在放大状态. ( ) 6.组合逻辑电路中有具有记忆功能的逻辑部件. ( ) 7.译码是编码的逆过程. ( ) 8.触发器也称为半导体存贮单元. ( ) 9.同步触发器存在空翻现象,而边沿型触发器和主从型触发器克服了空翻. 10.JK 触发器的JK 端相当KS 触发器的SR 端. ( ) 二.选择: 1.若编码器编码输出位数为4位,则最多可对( )个输入信号编码. A.4 B.6 C.16 D.32 2.16选1数据选择器其地址输入至少应有( )位. A.2 B.4 C.8 D.16 3.半加器有( ),全加器有( ) A.2个输入端,2个输出端 B.2个输入端,3个输出端 C.3个输入端,2个输出端 D.3个输入端,3个输出端 4.二极管可组成的电路( ) A.只能是与门 B.只能是或门 C.与门和或门都可以 D.非门 5.通常能实现“线与”功能的门电路是( ) A.OC 门 BTSL.门 C.TTL 与门 6.BCD 码是( ) A.二进制码 B.十进制码 C.二一十进制码 7.下列逻辑函数表示方法中,具有唯一性的是(多选)( ) A.真值表 B.逻辑表达式 C.逻辑图 D.卡诺图 8.下列选项中不属于卡诺图特点的是( ) … … ……… ……考……………生… ……… …答……………题……………不……………准……………超……………过……………此……………线…………………

相关文档 最新文档