文档库 最新最全的文档下载
当前位置:文档库 › 电子线路设计与仿真期末报告(乒乓球游戏机)

电子线路设计与仿真期末报告(乒乓球游戏机)

电子线路设计与仿真期末报告(乒乓球游戏机)
电子线路设计与仿真期末报告(乒乓球游戏机)

《电子线路设计与仿真》VHDL程序设计报告

学院:

专业:

班级:

姓名:

学号:

年月日

基于VHDL的乒乓球游戏电路设计

摘要:用VHDL编程模拟乒乓球比赛,电路模块由状态机、记分器、译码显示器与时钟分频器等部分组成,利用MAXPLUS II对各部分编写VHDL算法,进行编译、仿真、逻辑综合、逻辑适配及程序下栽.通过EPF10K10LC84-4的验证,乒乓游戏机能模拟乒乓球比赛的基本过程和规则,并能自动裁判和记分。

关键词:VHDL 乒乓球游戏机MAXPLUS II

目录

一、引言 (1)

1.1、EDA技术 (1)

1.2、设计任务 (1)

1.3、调研 (2)

二、方案设计 (2)

2.1 状态机的设计思路 (2)

2.2、输入/ 输出引脚 (4)

2.3、硬件要求 (4)

三、乒乓球程序实现 (4)

3.1、时钟分频器 (4)

3.2、状态机/球台控制器 (7)

3.3、计分器 (13)

3.4、数码管显示器 (14)

3.4、顶层文件实现 (17)

四、程序调试 (18)

4.1、测试仿真 (18)

4.2、硬件配置 (20)

4.3、下载结果 (22)

五、心得体会 (23)

致谢 (24)

参考文献 (24)

一、引言

1.1、EDA技术

EDA(Electronic Design Automation,电子设计自动化)技术是现代电子工程领域的一门新技术。它提供了基于计算机和信息技术的电路系统设计方法。EDA技术的发张和推广应用极大地推动了电子工业的发展。EDA技术就是以计算机为工具,在EDA软件平台上,对以硬件描述语言VHDL为系统逻辑描述手段完成的设计文件自动地完成逻辑编译,逻辑化简,逻辑分割,逻辑综合及优化,逻辑布局布线,逻辑仿真,直至对于特定目标芯片的适配编译,逻辑映射和编程下载等工作。设计者的工作仅限于利用软件的方式,即利用硬件描述语言来完成对系统硬件功能的描述,在EDA工具的帮助下就可以得到最后的设计结果[1]。近年来,集成电路制造技术的快速发展, 一方面促进了相应设计技术的发展, 另一方面也对设计技术提出了更高的要求。当前集成电路设计, 面临着功能强、性能好、规模大、成本低、设计周期短等一系列要求和挑战, 这些要求和挑战引起了集成电路设计方法的全面革新。当今, 以行为设计为主要标志的新一代数字系统设计理论已形成并得到发展。在集成电路的数字系统的系统级设计中, VHDL 硬件描述语言构造的描述模型优化设计, 有利于高效利用设计空间, 实现设计结构的精确分析, 使芯片资源得以充分利用。

1.2、设计任务

设计乒乓球游戏机,用9个发光二极管代表乒乓球台,用点亮的发光二极管按一定的方向移动来表示球的运动。用开关来摸拟球手及裁判,裁判开关选择首发权,游戏机两侧开关为即击球开关。球手按乒乓球比赛规则来操作开关。当裁判按下开始键后,根据首发权的选择,发球方(甲方)按动击球开关,靠近甲的第一个二极管亮,然后发光二极管由甲向乙依次点亮,代表乒乓球的移动。当球过网(中点)时,乙方可以击球。若乙方提前或是没击中球则判乙方失分,甲方的计分牌自动加分(比分由七段显示器显示)。

然后重新发球,比赛继续。比赛直到一方分数达到11分时,赢得这局比赛,总比分自动加一,且比赛暂停,等待裁判按键开始下一轮比赛,下一轮比赛开始时比分被清零但总比分不变,直至一方所赢的局数先达到4(7局4胜),

则赢得整场比赛,裁判再按下按键时比分和总比分都将清零,比赛重新开始。

系统具有发球权自动交换功能,在一局比赛中每个球一换发,由发光二极管点亮的位置来表示哪一方具有发球权,一局比赛结束后,首发权也会自动交换。

1.3、调研

经过对观察实际比赛的研究,我发现比赛过程如下:

首先,裁判决定比赛开始,并确定首发权的归属。

接着,在比赛过程中,当球过网后,对方才可以击球,否则击球方得分,但当球已到底线还未击球,也会使击球方得分。

再者,每局比赛一般为11分。

最后,比赛一般为七局四胜制(以往为五局三胜制)。

二、方案设计

2.1 状态机的设计思路

状态机设置了8个状态,分别是“等待游戏状态”、“游戏开始状态”、“球向B移动状态”、“球向A移动状态”、“A得分状态”、“B得分状态”、“比赛结果状态”和“返回状态”等8个状态。如表1所列。

表1 状态机的8中状态及其含义

开始的时候处于“等待游戏状态”,若裁判确定谁先发球,则状态转移到“游戏开始状态”。以裁判判定A发球为例。

若甲有发球权,则在“start”状态,B先发球无效,当A击球时,状态转移到“A to B”状态。此时如果B提前击球或未击到球时,状态转移到“Ascore”即A得分;若B击球成功,状态转移到“B to A”。在“start”状态中,会判断A或B得分是否为11分,当达到一方达到11分时,状态转移到“result”,使得相应方总比分加1,状态并转至“retu”。在该状态下,只有裁判按下“resetf”,小比分归零,开始新一局比赛,并且交换发球权。当一方先达到4胜时,提示获胜方胜利。此时裁判按下“reset”键,重新比赛。具体状态流程图如图1所示

一方达到胜4局则该

方赢,亮灯

图1 乒乓球状态流程图

2.2、输入/ 输出引脚

输入输出引脚及其作用如表2所示

表2 输入输出引脚

2.3、硬件要求

经过分析本系统需要以下硬件资源:

1)EPF10K10LC84-4芯片

2)带硬件防抖的按键3个

3)拨码开关3个

4)数码管4个

5)Led灯11个

6)晶振1个

三、乒乓球程序实现

3.1、时钟分频器

本实验用到的FPGA开发板,晶振时钟频率为20MHz,如果直接引入系统做工作频率,会导致七段数码管闪烁、LED灯乱闪和不稳定等。为了满足系统工作在低时钟频率条件,这里用到分频电路。为了得到稳定的时钟,

采用二次分频,预分频将频率调整到1KHz,再次分频得到10Hz。以下为分频器代码:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY clock IS

PORT (Clkin : IN std_logic;

Clkout: OUT std_logic);

END clock;

ARCHITECTURE Devider OF clock IS

COMPONENT Fre --1000Hz

PORT (Clkin : IN std_logic;

Clkout: OUT std_logic);

END COMPONENT;

COMPONENT Fre1 --10Hz

PORT (Clkin : IN std_logic;

Clkout: OUT std_logic);

END COMPONENT;

SIGNAL Clk : std_logic;

BEGIN

G1:Fre port map(clkin,clk);

G2:Fre1 port map(clk,clkout);

END Devider;

--1ms

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY Fre IS

PORT (Clkin : IN std_logic;

Clkout: OUT std_logic);

END Fre;

ARCHITECTURE Devider OF Fre IS

CONSTANT N: Integer:=9999; --n=x-1;为2x分频SIGNAL Counter : Integer RANGE 0 TO N ;

SIGNAL Clk : std_logic;

BEGIN

PROCESS (Clkin)

BEGIN

IF(Clkin'event AND Clkin ='1') THEN

IF Counter= N THEN

Counter <= 0;

Clk<=NOT Clk;

ELSE

Counter<=Counter +1;

END IF;

END IF;

END PROCESS;

Clkout <=Clk;

END Devider;

--1s

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY Fre1 IS

PORT (Clkin : IN std_logic;

Clkout: OUT std_logic);

END Fre1;

ARCHITECTURE Devider OF Fre1 IS

CONSTANT N: Integer:=49; --n=x-1;为2x分频

SIGNAL Counter : Integer RANGE 0 TO N ;

SIGNAL Clk : std_logic;

BEGIN

PROCESS (Clkin)

BEGIN

IF(Clkin'event AND Clkin ='1') THEN

IF Counter= N THEN

Counter <= 0;

Clk<=NOT Clk;

ELSE

Counter<=Counter +1;

END IF;

END IF;

END PROCESS;

Clkout <=Clk;

END Devider;

3.2、状态机/球台控制器

状态机设置了8个状态,分别是等待游戏状态(waitstate)、游戏开始状态(start)、球向B移动状态(AtoB)、球向A移动状态(BtoA)、A得分状态(Ascore)、B得分状态(Bscore)、比赛结果状态(result)和返回状态(retu)。

乒乓球游戏机中有4个计分信号IncreaseA、IncreaseB、IncreaseAA、IncreaseBB,分别表示A、B得分,A、B胜一局;一个tablestate信号,用它的数值来控制状态机外9个发光二极管的亮和暗,比如当tablestate=0时表示第一个发光二极管亮,用发光二级管的轮流发光表示球的移动轨迹。

输入状态机的信号有游戏复位resetf信号,它是1位二进制信号,数值为1表示重新开始比赛;Astart、Bstart信号,表示A或B发球;两个二进制信号hitA和hitB分别表示AB是否击球,若数值为1,表示击球,不为1表示不击球。以下是状态机进程代码。

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity zkc09211958pingpang is

port(clk:in std_logic;

Astart,Bstart:in std_logic;

resetf,reset:in std_logic;

hitA,hitB:in std_logic;

BfR,AfR:BUFFER std_logic;

scoreA,scoreB:in integer RANGE 0 To 11; --A,B小比分

winA,winB:in integer RANGE 0 To 4;

clearC,IncreaseA,IncreaseB:out std_logic; --总比分和小比分清零信号clearJ:out std_logic; --小比分清零信号

IncreaseAA,IncreaseBB:out std_logic;

TAbleLight:out std_logic_V ector(0 To 8);

Awin,Bwin:buffer std_logic);

end zkc09211958pingpang;

ARCHITECTURE behavior of zkc09211958pingpang is

type state_type is(waitstate,start,AtoB,BtoA,Ascore,Bscore,Result,retu); signal state:state_type;

signal tablestate:integer range 0 to 8;

signal Ast,Bst:std_logic;

begin

process(clk,resetf)

begin

if resetf='1' then

state<=waitstate; --进入等待状态

clearC<='1'; --计分器请0

clearJ<='1';

Awin<='0';

Bwin<='0';

AFR<='0';

bFR<='0';

elsif rising_edge(clk) then

case state is

when waitstate=> --裁判态

clearC<='0'; --记分台均清零

clearJ<='0';

IncreaseA<='0';

IncreaseB<='0';

IncreaseAA<='0';

IncreaseBB<='0';

if((Astart='1')and(Bstart='0'))then--a首发

Ast<='1';

AfR<='1';

tablestate<=0;

state<=start;

elsif((Astart='0')and(Bstart='1'))then--b首发

Bst<='1';

BfR<='1';

tablestate<=8;

state<=start;

else

state<=waitstate;

end if;

when start=>

clearJ<='0';

IncreaseA<='0';

IncreaseB<='0';

IncreaseAA<='0';

IncreaseBB<='0';

if((scoreA=11) or (scoreB=11)) then

AfR<=not AfR;

BfR<=not BfR;

state<=Result; --如果一方先打到11分,

比赛结束

else

if ((hitA='1')and(Ast='1')) then --如果A开球(同时

有发球权)

state<=AtoB; --球从A向B方移动

Ast<=not (Ast);

Bst<=not (Bst);

tablestate<=0; --A方第一个灯亮elsif ((hitB='1')and(Bst='1')) then --如果B开球state<=BtoA; --球从B向A方移动

Bst<=not Bst;

Ast<=not Ast;

tablestate<=8; --B方第一个灯亮else

state<=start;

end if;

end if;

when AtoB=> --球从A向B移动的过程if hitB='1'then --如果检测到B方击球if tablestate<=4 then --若未过网提前击球

state<=Ascore; --判A胜

else

state<=BtoA;--若过了网击球,球从B向A移动end if;

else --若未检测到B击球if tablestate=8 then --如果离B最近的等已经亮state<=Ascore; --判A胜

else

tablestate<=tablestate+1; --否则球继续移动end if;

end if;

when BtoA=> --球从A向B移动的过程if hitA='1'then

if tablestate>=4 then

state<=Bscore;

else

state<=AtoB;

end if;

else

if tablestate=0 then

state<=Bscore;

else

tablestate<=tablestate-1;

end if;

end if;

when Ascore=> --如果A胜

IncreaseA<='1'; --A方加1分

state<=start; --回到等待状态

when Bscore=>

IncreaseB<='1';

state<=start;

when Result=> --最后结果

if(scoreA=11)then --若A方达到11分IncreaseAA<='1'; --A方局数加1 else

IncreaseBB<='1'; --B方局数加1 end if;

Ast<=AfR;

Bst<=BfR;

state<=retu;

when retu=>

IncreaseA<='0';

IncreaseB<='0';

IncreaseAA<='0';

IncreaseBB<='0';

if(winA=4)then

Awin<='1';

elsif(winB=4)then

Bwin<='1';

end if;

if reset='1' then

clearJ<='1';

state<=start;

else

state<=retu;

end if;

when others=>

state<=waitstate;

end case;

end if;

end process;

process(clk) --控制9个发光管的亮灭begin

if falling_edge(clk) then

if ((state=AtoB) OR (state=BtoA))then

case tablestate is

when 0=>tablelight<="100000000";

when 1=>tablelight<="010000000";

when 2=>tablelight<="001000000";

when 3=>tablelight<="000100000";

when 4=>tablelight<="000010000";

when 5=>tablelight<="000001000";

when 6=>tablelight<="000000100";

when 7=>tablelight<="000000010";

when 8=>tablelight<="000000001";

when others=>tablelight<="000000000";

end case;

else

tableLight<="000000000";

end if;

end if;

end process;

end behavior;

3.3、计分器

计分器可以对小比分和大比分进行计分。根据状态机给出的4个信号(IncreaseA、IncreaseB、IncreaseAA、IncreaseBB)对小比分(scoreA,scoreB)和大比分(winA,winB)进行操作。clearC全局分数清零,clearJ小比分清零。

具体程序如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity counter is

port(clk:in std_logic;

clearC,clearJ:in std_logic;

increaseA,increaseB:in std_logic;

increaseAA,increaseBB:in std_logic;

scoreA,scoreB:buffer integer range 0 to 11;

winA,winB:buffer integer range 0 to 4);

end counter;

ARCHITECTURE count of counter is

begin

process(clk,clearC,clearJ)

begin

if clearC='1'then

winA<=0;

winB<=0;

elsif clearJ='1'then

scoreA<=0;

scoreB<=0;

elsif falling_edge(clk)then

if increaseA='1'then

scoreA<=scoreA+1;

elsif increaseB='1'then

scoreB<=scoreB+1;

end if;

if increaseAA='1'then

winA<=winA+1;

elsif increaseBB='1'then

winB<=winB+1;

END IF;

end if;

end process;

end count;

3.4、数码管显示器

七段译码器是在数字电路设计中经常用到的显示电路。所谓七段译码器,其实是由7段发光二极管组成的用于显示数字的器件。如图2所示。

图2

其中的a,b,c,d,e,f,g飞奔为7段发光二极管,通过控制每个发光二极管的亮和暗,可以分别显示0~9十个数字。例如,b和c两段发光二极管亮,其他发光二极管暗,则表示数字“1”;a,b,g,e和d五段发光二极管亮,其他发光二极管暗,则表示数字“2”。七段译码器有7个输入端,分别控制a~g七段发光二极管。

由于小比分与大比分输入量不同,编写两个数码管显示程序。

程序一:

输入小比分为integer range 0 to 11,由于硬件资源受限,设计用一个数码管显示所有比分,采用枚举法编程。注10分用…A?表示,11分用…| |?表示。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY led7 IS

PORT (input: IN integer range 0 to 11;

output: OUT STD_LOGIC_VECTOR (6 DOWNTO 0));

END led7;

ARCHITECTURE rtl OF led7 IS

BEGIN

output <= ('0','1','1','1','1','1','1') WHEN input =0 ELSE

('0','0','0','0','1','1','0') WHEN input =1 ELSE

('1','0','1','1','0','1','1') WHEN input =2 ELSE

('1','0','0','1','1','1','1') WHEN input =3 ELSE

('1','1','0','0','1','1','0') WHEN input =4 ELSE

('1','1','0','1','1','0','1') WHEN input =5 ELSE

('1','1','1','1','1','0','1') WHEN input =6 ELSE

('0','0','0','0','1','1','1') WHEN input =7 ELSE

('1','1','1','1','1','1','1') WHEN input =8 ELSE

('1','1','0','1','1','1','1') WHEN input =9 ELSE

('1','1','1','0','1','1','1') WHEN input =10 ELSE --A

('0','1','1','0','1','1','0') WHEN input =11 ELSE --||

('0','0','0','0','0','0','0') ; --灭灯

end rtl;

程序二:

输入大比分为integer range 0 to 4,用枚举法编程。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY led71 IS

PORT (input: IN integer range 0 to 4;

output: OUT STD_LOGIC_VECTOR (6 DOWNTO 0)); END led71;

ARCHITECTURE rtl OF led71 IS

BEGIN

output <= ('0','1','1','1','1','1','1') WHEN input =0 ELSE

('0','0','0','0','1','1','0') WHEN input =1 ELSE

('1','0','1','1','0','1','1') WHEN input =2 ELSE

('1','0','0','1','1','1','1') WHEN input =3 ELSE

('1','1','0','0','1','1','0') WHEN input =4 ELSE

('0','0','0','0','0','0','0') ; --灭灯end rtl;

3.4、顶层文件实现

图3~5给出了这个系统的顶层文件元件连接图

图3 顶层文件连接图

图4顶层文件连接图

09电信电子线路课程设计题目

电子线路课程设计题目 (模电、数电部分) 一、锯齿波发生器 二、语音放大电路 三、可编程放大器 四、数字频率计 五、可调电源 六、汽车尾灯控制电路 2011.09

一、设计一高线性度的锯齿波发生器 要求: (1)利用555定时器和结型场效应管构成的恒流源设计一高线性度的锯齿波发生器;参考电路如图所示; (2)在EWB中对该电路进行仿真; (3)焊接电路并进行调试;调试过程中思考: a、电路中两个三极管的作用是什么?其工作状态是怎么样的? b、R3阻值的大小会对锯齿波的线性度产生什么影响? c、输出锯齿波的幅值范围多大? d、调节电路中的可调电阻对波形有什么影响? e、LM324的作用是什么? (4)参考电路图中采用的是结型场效应管设计的,若采用N沟道增强型VMOS管和555定时器来设计一高线性度的锯齿波发生器,该如何设计? LM324 图2 高线性度锯齿波发生器的设计

二、语音放大电路的设计 通常语音信号非常微弱,需要经过放大、滤波、功率放大后驱动扬声器。 要求: (1)采用集成运算放大器LM324和集成功放LM386N-4设计一个语音放大电路;假设语音信号的为一正弦波信号,峰峰值为5mV,频率范围为100Hz~1KHz,电路总体原理图如下所示; 图4 语音放大电路 (2)仔细分析以上电路,弄清电路构成,指出前置放大器的增益为多少dB?通带滤波器的增益为多少dB? (3)参照以上电路,焊接电路并进行调试。 a、将输入信号的峰峰值固定在5mV,分别在频率为100Hz和1KHz的条件下测试前 置放大的输出和通带滤波器的输出电压值,计算其增益,将计算结果同上面分析 的理论值进行比较。 b、能过改变10K殴的可调电阻,得到不同的输出,在波形不失真的条件下,测试集 成功放LM386在如图接法时的增益; c、将与LM386的工作电源引脚即6引脚相连的10uF电容断开,观察对波形的影响, 其作用是什么? d、扬声器前面1000uF电容的作用是什么?

南京理工大学电子线路课程设计(优秀)

南京理工大学 电子线路课程设计 实验报告

摘要 本次实验利用QuartusII7.0软件并采用DDS技术、FPGA芯片和D/A转换器,设计了一个直接数字频率信号合成器,具有频率控制、相位控制、测频、显示多种波形等功能。 并利用QuartusII7.0软件对电路进行了详细的仿真,同时通过SMART SOPC实验箱和示波器对电路的实验结果进行验证。 报告分析了整个电路的工作原理,还分别说明了设计各子模块的方案和编辑、以及仿真的过程。并且介绍了如何将各子模块联系起来,合并为总电路。最后对实验过程中产生的问题提出自己的解决方法。并叙述了本次实验的实验感受与收获。 关键词数字频率信号合成器频率控制相位控制测频示波器 Abstract This experient introduces using QuartusII7.0software, DDS technology,FPGA chip and D/A converter to design a multi—output waveform signal generator in which the frequency and phase are controllable and test frequency,display waveform. It also make the use of software QuartusII7.0 a detailed circuit simulation, and verify the circuit experimental results through SMART SOPC experiment box and the oscilloscope. The report analyzes the electric circuit principle of work,and also illustrates the design of each module and editing, simulation, and the process of using the waveform to testing each Sub module. Meanwhile,it describes how the modules together, combined for a total circuit. Finally the experimental problems arising in the process of present their solutions. And describes the experience and result of this experiment. Keywords multi—output waveform signal- generator frequency controllable phase controllable test frequency oscilloscope 目录

电子秒表电路实验报告1

电子技术课程设计 报告 设计题目:电子秒表 院(部):物理与电子信息学院 专业班级:电子信息工程 学生姓名: 学号: 指导教师: 摘要

秒表应用于我们生活、工作、运动等需要精确计时的方面。它由刚开始的机械式秒表发展到今天所常用的数字式秒表。秒表的计时精度越来越高,功能越来越多,构造也日益复杂。 本次数字电路课程设计的数字式秒表的要求为:显示分辨率为1s/100,外接系统时钟频率为100KHz;计时最长时间为60min,五位显示器,显示时间最长为59m59.99s;系统设置启/停键和复位键。复位键用来消零,做好计时准备、启/停键是控制秒表起停的功能键。 针对上述设计要求,先前往校图书馆借阅了大量的数字电路设计方面的书籍,以及一本电子元件方面的工具书,以待查阅各种设计中所需要的元件。其次安装并学习了数字电路设计中所常用的Multisim仿真软件,在课程设计过程的电路图设计与电路的仿真方面帮助我们发现了设计电路方面的不足与错误之处。 关键字:555定时器十进制计数器六进制计数器多谐振荡器

目录 1.选题与需求分析 (1) 1.1设计任务 (1) 1.2 设计任务 (1) 1.3设计构思 (1) 1.4设计软件 (2) 2.电子秒表电路分析 (3) 2.1总体分析 (3) 2.2电路工作总体框图 (3) 3.各部分电路设计 (4) 3.1启动与停止电路 (4) 3.2时钟脉冲发生和控制信号 (4) 3.3 设计十进制加法计数器 (6) 3.4 设计六进制加法计数器 (7) 3.5 清零电路设计 (8) 3.7 总体电路图: (10) 4 结束语与心得体会 (12)

西安交通大学电子线路设计实验报告

电子线路设计 实验报告 姓名: 班级:自动化 学号: 2015/12/10

PROTEL电子线路设计与仿真 一、实验目的 1、了解PROTEL电子线路设计软件的开发过程; 2、熟练使用PROTEL电子线路设计软件,会设计简单、常用的电子线路; 3、熟练掌握建立项目文件、建立原理图文件、绘制原理图、产生网络表、建立PCB 文件、绘制PCB线路图等基本技能;掌握绘制电路原理图的基本操作步骤和设计技 巧,掌握创建原理图元件的方法;理解PCB线路图参数设置的意义,掌握手动、自 动布局和布线的基本方法和设计技巧,掌握创建PCB元件的方法。 二、实验设备及编译环境 计算机一台,Protel DXP集成环境。 三、实验步骤 (1)建立项目文件 File->New design 设置工程名和存储路径后点击OK,进入下图界面。

(2)建立原理图文件 在Documents文件夹下,点击Schematic document创建原理图文件。 (3)绘制原理图 在库下有的元件直接添加到原理图中连线即可;对库中没有的元件需要自行创建,创建步骤如下: 1在Documents文件夹下,点击Schematic Library document创建原理图 库文件(Schematic library document); 2绘制元件边框和引脚,设置引脚名称和编号,然后添加至原理图中。 绘制元件8563 U2如图:

绘制好原理图后点击Tools->ERC检查无错误 绘制好的原理图如下: 最后对每个元件设置一个封装(Footprint): 电容C1,C2 二极管D7,D8

电子技术乒乓球比赛游戏机课程设计报告书

1绪论 1.1选题背景 1.1.1 课题目的及意义 本次课程设计的容是独立完成一个乒乓球比赛游戏机的设计,采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。通过这次课程设计让我们了解和熟悉了乒乓球游戏机的原理和Multisim仿真设计软件的操作,也让我们加深了解了对双向移位寄存器、双D触发器及、加法器及逻辑门电路的一些实际用途,并将理论与实践相结合。 1.1.2 课题的容和要求 独立完成一个乒乓球比赛游戏机的设计,采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。 课程设计具体容如下:乒乓球比赛是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判),乒乓球比赛模拟机是用发光二极管(LED)模拟乒乓球运 乒乓球比赛模拟机框图 设计要求:

1、基本部分 (1) 至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从由到左移动,“球”的移动速度能由时钟电路调节。 (2) 当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,表示未击中或违规,则对方得一分。 (3) 设计自动记分电路,甲乙双方各用一位数码管显示得分,每记满9分为一局。 2、发挥部分(选做) (1) 甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (2) 发球次数能由一位数码管显示。 (3) 一方得分,电路自动响铃3秒,此期间发球无效,等铃声停止后方可比赛。 课题任务要求 1、画出总体设计框图,以说明乒乓球比赛游戏机由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向和频率变化。并以文字对原理作辅助说明。 2、设计各个功能模块的电路图,加上原理说明。 3、选择合适的元器件,在EWB上连接验证、仿真、调试各个功能模块的电路。在连接验证时设计、选择合适的输入信号和输出方式,在充分电路正确性同时,输入信号和输出方式要便于电路的仿真、调试和故障排除。 4、在验证各个功能模块基础上,对整个电路的元器件和连接,进行合理布局,进行整个数字钟电路的连接验证、仿真、调试。 5、自行接线验证、仿真、调试,并能检查和发现问题,根据原理、现象和仿真结果分析问题所在,加以解决。学生要解决的问题包括元器件选择、连接和整体设计引起的问题。 1.2 方案选择 根据设计任务,对照图乒乓球比赛模拟及1.1,可以分为三个模块进行设计:

电子线路课程设计am调幅发射机设计报告

电子线路课程设计 总结报告 学生姓名: 可行性,选择适合设计方案,并对设计方案进行必要的论证。本课题以小功率调幅发射机为设计对象,并对其主振级、低频电压放大级、调制级、高频功率放大级进行了详细的设计、论证、调试及仿真,并进行了整机的调试与仿真。设计具体包括以下几个步骤:一般性理论设计、具体电路的选择、根据指标选定合适器件并计算详细的器件参数、用multisim进行设计的仿真、根据仿真结果检验设计指标并进行调整。最后对整个设计出现的问题,和心得体会进行总结。 关键词调幅发射机;振荡器;multisim仿真设计

一、设计内容及要求 (一)设计内容:小功率调幅AM发射机设计 1.确定小功率调幅发射机的设计方案,根据设计指标对既定方案进行理论设计分析, 并给出各单元电路的理论设计方法和实用电路设计细节,其中包括元器件的具体选择、参数调整。 根据设计要求,要求工作频率为10MHz,输出功率为1W,单音调幅系数 m。由于载波频率为10Mhz,大多数振荡器皆可满足,提供了较多的选择且不需要 8.0 = a 倍频。由于输出功率小,因此总体电路具有结构简单,体积较小的特点。其总体电路结构 可分为主振荡电路(载波振荡电路)、缓冲隔离电路、音频放大电路、振幅调制电路、功

(二)单元电路方案论证 1.主振荡电路 主振荡电路是调幅发射机的核心部件,载波的频率稳定度和波形的稳定度直接影响到发射信号的质量,因此,主振荡电路产生的载波信号必须有较高的频率稳定度和较小的波形失真度,主振荡电路可以有四种设计方案:RC正弦波振荡电路、石英晶体振荡电路、三点振荡电路、改进三点式(克拉泼)振荡电路。 2.振幅调制电路 振幅调制电路是小信号调幅发射机的核心组成部分,该单元实现将音频信号加载到载波上以调幅波形式发送出去,振幅调制电路要能保证输出的信号为载波信号的振幅随调制信号线性变化。

电子电路实验三 实验报告

实验三负反馈放大电路 实验报告 一、实验数据处理 1.实验电路图 根据实际的实验电路,利用Multisim得到电路图如下: (1)两级放大电路 (2)两级放大电路(闭环)

2.数据处理 (1)两级放大电路的调试 第一级电路:调整电阻参数,使得静态工作点满足:IDQ约为2mA,UGDQ<-4V。记录并计 第二级电路:通过调节Rb2,使得静态工作点满足:ICQ约为2mA,UCEQ=2~3V。记录电 输入正弦信号Us,幅度为10mV,频率为10kHz,测量并记录电路的电压放大倍数 A u1=U o1 U s 、A u= U o U s (2)两级放大电路闭环测试 在上述两级放大电路中,引入电压并联负反馈。合理选取电阻R的阻值,使得闭环电压放大倍数的数值约为10。 输入正弦信号Us,幅度为100mV,频率为10kHz,测量并记录闭环电压放大倍数 A usf=U o/U s 输入电阻Rif和输出电阻Rof。

输入正弦信号Us,幅度为100mV,频率为10kHz,测量并记录闭环电压放大倍数 A usf=U o/U s 输入电阻Rif和输出电阻Rof。 3.误差分析 利用相对误差公式: 相对误差=仿真值?实测值 实测值 ×100% 得各组数据的相对误差如下表: 误差分析: (1)由上表可得知,两级放大电路实验中,开环输出电阻Ro及闭环输出电阻Rof仿真值与实测值的相对误差较大;电流并联负反馈电路中,三组数据仿真值与实测值的相对误差均较大。 (2)两级放大电路中,输出电阻测量的相对误差较大,原因可能是实际实验中使用的晶体管与仿真实验中的晶体管的特性相差较大,而且由理论分析知输出电阻会随温度的变化而变化(晶体管rbe阻值随温度的增大而增大),这导致了输出电阻实测值与仿真值相差较大。(3)电流并联负反馈电路中,电压放大倍数测量的相对误差较大,原因也应该是实际实验中的晶体管放大倍数与仿真中的不同,仿真实验中晶体管的β为280,实际实验的相关参数达不到这么大,故电压放大倍数较小。

电子线路CAD实验报告1

电子线路CAD实验报告 实验序号:1 实验名称:Altium Designer 基本操作实验日期:15.3.6 专业班级:13电信姓名:陈学颖成绩:__________ 一、实验目的: 了解AD 软件绘图环境,各个功能模块的作用,各个功能模块的作用,设置原理图 图纸环境的方法及元器件放置方法,灵活掌握相关工具和快捷方式的使用。 二、实验内容: 1,熟悉软件的设计环境参数:常规参数、外观参数、透明效果、备份选项、项目面板 设置。 2,学习使用键盘和菜单实现图纸的放大或缩小。 3,创建一个新的PCB 项目,项目名为姓名.PrjPCB。 4,打开一个例子文件,观察统一的设计环境,进行标签的分类。 5,在上述工程中创建新文件,命名为实验1.sch.设置图纸大小为A4,水平放置,工作区颜色为233 号色,边框颜色为63 号色。 6,栅格设置:捕捉栅格为5mil,可视栅格为8mil。 7,字体设置:设置系统字体Tahoma、字号为8,带下划线。 8,标题栏设置:用特殊字符串设置制图者为Motorala、标题为“我的设计”,字体为华文彩云,颜色为221 号色。 9,新建原理图文件,命名为“模板.schdoc”,设计其标题栏,包括班级、姓名、学号。三.实验操作 1.在最上方菜单中选择文件—新建—PCB工程,然后新建一个PCB项目,然后将其保存为陈学颖.PrjPCB。 2.然后在最上方菜单中选择文件—新建—原理图,然后将其命名为实验1.sch。然后在原理图工具区单击鼠标右键,在选项中选择文档选项,将其设置为图纸大小为A4,水平放置,工作区颜色为233 号色,边框颜色为63 号色。同时将捕捉栅格设置为5mil,可视栅格设置为8mil。然后选择“更改系统字体”中设置系统字体为Tahoma、字号为8,带下划线。

基于FPGA的乒乓球游戏机设计.

摘要 在现代电子设计领域,微电子技术迅猛发展,无论是系统设计、电路设计,还是芯片设计,其设计的复杂度都在增加,传统的手工设计方法已经不能满足设计者的要求,急需新的设计工具来解决。EDA技术的迅速发展顺应了时代的发展,使得电子设计自动化技术有了新的、快的发展,其重要程度日益突出。本文设计了基于FPGA的,用Verilog HDL语言描述的乒乓球游戏机的设计。它由控制模块、数码管的片选信号模块、送数据模块和7段译码器模块组成,连接形成乒乓球游戏机的顶层电路。在QuartusⅡ软件上用Verilog HDL语言分别对每个模块进行描述,然后在软件上进行编译、仿真,最终实现乓乓球比赛的基本过程和规则,并能自动裁判和计分,达到设计的要求。 关键词:EDA ;FPGA ;Verilog HDL Abstract In the field of modern electronic design, the rapid development of microelectronic technology,whether the system design, circuit design and chip design, the design is more complex, the traditional manual design methods have been unable to meet the requirements of designers,in urgent need of new design tools to solve. The rapid development of EDA technology to the development of the times, the electronic design automation technology has been the development of new, fast, its importance is outstanding day by day. This paper is designed based on FPGA, the design of table tennis game is described with Verilog HDL language. It consists of control module, chip select signal module, digital tube to send data module and the 7 segment decoder modules, the top-level circuit connected to form a table tennis game. In the Quartus software by Verilog HDL language for each module are described, and then the compiler, simulation in software, realize the basic process and the rules of table tennis table tennis competition, and can automatically judge and score, to meet the design requirements. Keywords: EDA; FPGA; Verilog HDL

电子线路课程设计报告

石英晶体好坏检测电路设计 设计要求 1. 利用高频电子线路及其先修课程模拟电路的知识设计一个电子线路2.利用该电子线路的要求是要求能够检测石英晶体的好坏 3. 要求设计的该电子线路能够进行仿真 4. 从仿真的结果能够直接判断出该石英晶体的好坏 5. 能够理解该电子线路检测的原理 6. 能够了解该电子线路的应用 成果简介设计的该电子线路能够检测不同频率石英晶体的好坏。当有该石英晶体(又称晶振)的时候,在输出端接上一个示波器能够有正弦波形输出,而当没有 该晶振的时候,输出的是直流,波形是一条直线。所以利用该电路可以在使 用晶振之前对其进行检测。 报告正文 (1)引言: 在高频电子线路中,石英晶体谐振器(也称石英振子)是一个重要的高频部件,它广泛应用于频率稳定性高的振荡器中,也用作高性能的窄带滤波 器和鉴频器。其中石英晶体振荡器就是利用石英晶体谐振器作滤波元件构成 的振荡器,其振荡频率由石英晶体谐振器决定。与LC谐振回路相比,石英晶 体谐振器有很高的标准性,采用品质因数,因此石英晶体振荡器具有较高的 频率稳定度,采用高精度和稳频措施后,石英晶体振荡器可以达到很高的频 率稳定度。正是因为石英晶体谐振器的这一广泛的应用和重要性,所以在选 择石英晶体谐振器的时候,应该选择质量好的。在选择的时候要对该晶振检 测才能够知道它的好坏,所以要设计一个检测石英晶体好坏的电路。 (2)设计内容: 设计该电路的原理如下:

如下图所示,BX为待测石英晶体(又名晶振),插入插座X1、X2,按下按钮SB,如果BX是好的,则由三极管VT1、电容器C1、C2等构成的振荡器工作,振荡信号从VT1发射极输出,经C3耦合到VD2进行检波、C4滤波,变成直流信号电压,送至VT2基极,使VT2导通,发光二极管H发光,指示被测石英晶体是好的。若H不亮,则表明石英晶体是坏的。适当改变C1、C2的容值,即可用于测试不同频率的石英晶体。 图一石英晶体好坏检测电路检测原理图 在上面的电路中,晶振等效于电感的功能,与C1和C2构成电容三点式振荡电路,振荡频率主要由C1、C2和C3以及晶振构成的回路决定。即由晶振电 抗X e 与外部电容相等的条件决定,设外部电容为C L ,则=0,其中C l 是C1、 C2和C3的串联值。 (3)电路调试过程: 首先是电路的仿真过程,该电路的仿真是在EWB软件下进行的,下面是将原图画到该软件后的截图:

通信电子线路Multisim仿真实验报告

通信电子线路实验报告Multisim调制电路仿真

目录 一、综述 .......................... 错误!未定义书签。 二、实验内容 ...................... 错误!未定义书签。 1.常规调幅AM ................... 错误!未定义书签。 (1)基本理论.................... 错误!未定义书签。 (2)Multisim电路仿真图 ........ 错误!未定义书签。 (3)结论: ...................... 错误!未定义书签。 2.双边带调制DSB ................ 错误!未定义书签。 (1)基本理论.................... 错误!未定义书签。 (2)Multisim电路仿真图 ........ 错误!未定义书签。 3.单边带调制SSB ................ 错误!未定义书签。 (1)工作原理.................... 错误!未定义书签。 (2)Multisim电路仿真图 ........ 错误!未定义书签。 4.调频电路FM ................... 错误!未定义书签。 (1)工作原理.................... 错误!未定义书签。 (2)Multisim电路仿真图 ........ 错误!未定义书签。 5.调相电路PM ................... 错误!未定义书签。 (1)工作原理.................... 错误!未定义书签。 (2)Multisim电路仿真图............ 错误!未定义书签。 三、实验感想 ...................... 错误!未定义书签。

电子线路设计与制作实验报告

电子线路设计与制作 实验报告 班级:电信12305班 指导老师:朱婷 小组成员:张壮安剑锋罗杰杨康熊施任务分工:1.张壮实验报告的撰写 2.安剑锋检查元件及整理 3.罗杰电路的焊接 4.杨康元器件的保管及测试 5.熊施协助电路的焊接 2014年11月14日

项目一:红外线电路设计 一、电路工作原理 常用的红外线遥控系统一般分发射和接收两个部分。发射部分的主要元件为红外发光二极管。它实际上是一直特殊的发光二极管,由于其内部材料不同于普通发光二极管,因而在其两端施加一定电压时,它便发出的红外线而不会死可见光。 接收部分的红外接收管是一种光敏二极管。在实际应用中要给红外线接收二极管加反向偏压,它才能正常工作,亦即红外线接收二极管在电路中应用时是反向运用,这样才能获得较高的灵敏度。红外线二极管一般有圆形和方形两种。 二、电路原理图设计

课题名称元件数量备注 红外线发射——接收模拟 电路红外线发射管 1 红外线接收管 1 发光二极管 1 运放uA741 1 20K可调电位器 1 100Ω电阻 1 10kΩ电阻 1 330Ω电阻 1 元件清单表 三、电路设计与调试 (1)各小组从指导老师那里领取元器件,分工检测元器件的性能。(2)依据电路原理图,各小组讨论如何布局,最后确定一最佳方案在洞洞板上搭建红外线发射\接收电路图。 (3)检查电路无误后,从信号发生器送入适应电压。 (4)调节可调电阻R3的阻值,观察发光二极管LED是否出现闪烁现象,如果出现说明有发射和接收,如果没有检查电路。(5)实验完毕,记录结果,并写实验报告。

四、实验注意事项 (1)发光二极管的电流不能天大(小于200mA);(2)在通电前必须检查电路无误后才可; (3)信号发生器的输出电压峰峰值1.5~2.5V。 项目二:定时电路的设计一、电路原理图与工作原理

乒 乓 球 游 戏 机 设 计

Shaanxi University of Technology 通信工程专业课程设计Ⅱ 题目乒乓球游戏机设计 学生贾潇洒学号0713024056 所在院(系)陕西理工学院电信工程系 专业班级通信工程专业0 7 2 班 指导教师争兵 完成地点陕西理工学院电信工程系实验室 2010年 3 月 19 日

通信工程专业课程设计Ⅱ任务书 院(系) 电信工程系专业班级通信工程专业 072班学生贾潇洒 一、课程设计Ⅱ题目乒乓球游戏机设计 二、课程设计Ⅱ工作自 2010 年 3 月 1 日起至 2010 年 3 月 19 日止 三、课程设计Ⅱ进行地点: 电信工程系实验室 四、课程设计Ⅱ的容要求: [1]本课程设计的目的 本次课程设计为计算机类综合课程设计,通过计算机这个平台使我们将所学习的理论知识应用到实践中的一次尝试,同时也是对计算机辅助软件Max-pulsII的进一步熟悉。 [2]本课程设计的容 采用EDA技术---可采用VHDL或原理图输入法,设计一个乒乓球游戏电路,进行声光模拟,结果应有仿真波形、流程图、并下载在EDA开发板上,测试验证无误。 [3]本课程设计要实现的功能 用8个(或更多个)发光二极管排成一条直线代表乒乓球台,中间两个发光二极管兼做乒乓球网,两边各有两个开关控制双方的发球和接球,并能自动完成裁判、记分等功能。 [4]课程设计时间安排:3月1日-3月3日,熟悉容,方案论证; 3月4日-3月10日,编写程序、运行及仿真; 3月11日-3月12日,下载并验证其功能; 3月16 日,验收课程设计; 3月16日-3月19日,撰写、修改、提交课程设计报告。 指导教师系(教研室) 通信工程教研室接受任务开始执行日期 2010年3月1日学生签名

电子线路课程设计

电子线路课程设计总结报告 学生姓名: 学号: 专业:电子信息工程 班级:电子112班 报告成绩: 评阅时间: 教师签字: 河北工业大学信息学院 2014年2月

课题名称:小功率调幅AM发射机设计 内容摘要:小功率调幅发射机调幅简便,调制所占的频带窄,并且与之对应的调幅接收设备简单常用于通信系统和其它无线电系统中,特别是在中短波广播通信的领域里更是得到了广泛应用。本课程设计的目的即设计一个小功率调幅发射机并使之满足相应的技术指标。让学生综合运用高频电子线路知识,进行实际高频系统的设计、安装和调测,利用相关软件进行电路设计,提高综合应用知识的能力、分析解决问题的能力和电子技术实践技能,让学生了解高频电子通信技术在工业生产领域的应用现状和发展趋势。为今后从事电子技术领域的工程设计打好基础。通过设计主振器,缓冲器,音频放大器,调幅电路最终组成小功率调幅发射机。主振器是用来产生频率稳定的高频载波信号。高频放大器是将高频振荡载波信号放大到足够大得强度。高频功率放大器及调制器是将低频放大器输出的信号调制到载波上,同时完成末级功放。 一、设计内容及要求 1、内容:设计一个小功率调幅AM发射机 2、要求: 发射机工作频率f0=10MHz;发射功率Po大于等于200mW;负载电阻Ra=50Ω;输出信号带宽9kHz平均调幅系数ma大于等于30%,单音调幅系数ma=0.8;发射效率η大于等于50%;残波辐射小于等于40dB; 二、方案选择及系统框图 1、方案选择 低频小功率调幅发射机是将待传送的音频信号通过一定的方式调制到高频载波信号上,放大到额定的功率,然后利用天线以电磁波的方式发射出去,覆盖一定的范围。可选用最基本的发射机结构,系统框图如下图所示,由主振级、高频放大器、音频放大器、高电平调幅电路、缓冲电路结构组成。 (1)主振器 主振器就是高频振荡器,根据载波频率的高低、频率稳定度来确定电路型式。电容三点式振荡器的输出波形比电感三点式振荡器的输出波形好。这是因为电容三点式振荡器中,反馈是由电容产生的,高次谐波在电容上产生的反馈压降较小,输出中高频谐波小;而在电感三点式振荡器中,反馈是由电感产生的,高次谐波在电感上产生的反馈压降较大。另外,电容三点式振荡器最高工作频率一般比电感三点式振荡器的高。 主要原因是在电感三点式振荡器中,晶体管的极间电容与回路电感相并联,在频率高时可能改变电抗的性质;在电容三点式振荡器中,极间电容与电容并联,频率变化不改变电抗的性质。因此振荡器的电路型式一般采用电容三点式。在频率稳定度要求不高的情况下,可以采用普通三点式电路、克拉泼电路、西勒电路。频率稳定度要求高的情况下,可以采用晶体振荡器,也可以采用单片集成振荡电路。本电路采用克拉拨振荡器;

模拟电子线路multisim仿真实验报告

MULTISIM 仿真实验报告

实验一单级放大电路 一、实验目的 1、熟悉multisim软件的使用方法 2、掌握放大器的静态工作点的仿真方法,及对放大器性能的影响。 3、学习放大器静态工作点、电压放大倍数,输入电阻、输出电阻的仿真方法,了解共 射级电路的特性。 二、虚拟实验仪器及器材 双踪示波器信号发生器交流毫伏表数字万用表 三、实验步骤 1.仿真电路图 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 1 R7 5.1kΩ 9 XMM1 6 E级对地电压25.静态数据仿真

仿真数据(对地数据)单位;V计算数据单位;V 基级集电极发射级Vbe Vce RP 2.834 6.126 2.2040.63 3.92210k 26.动态仿真一 1.单击仪表工具栏的第四个,放置如图,并连接电路。 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 R7 5.1kΩ XSC1 A B Ext Trig + + _ _+_ 6 1 9

2.双击示波器,得到如下波形 5.他们的相位相差180度。 27.动态仿真二 1.删除负载电阻R6 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 XSC1 A B Ext Trig + + _ _+_ 6 1 9 2.重启仿真。

通信电子线路实验报告解析

LC与晶体振荡器 实验报告 班别:信息xxx班 组员: 指导老师:xxx

一、实验目的 1)、了解电容三点式振荡器和晶体振荡器的基本电路及其工作原理。 2)、比较静态工作点和动态工作点,了解工作点对振荡波形的影响。 3)、测量振荡器的反馈系数、波段复盖系数、频率稳定度等参数。 4)、比较LC 与晶体振荡器的频率稳定度。 二、实验预习要求 实验前,预习教材:“电子线路非线性部分”第3章:正弦波振荡器;“高频电子线路”第四章:正弦波振荡器的有关章节。 三、实验原理说明 三点式振荡器包括电感三点式振荡器(哈脱莱振荡器)和电容三点式振荡器(考毕兹振荡器),其交流等效电路如图1-1。 1、起振条件 1)、相位平衡条件:X ce 和X be 必 需为同性质的电抗,X cb 必需为异性质 的电抗,且它们之间满足下列关系: 2)、幅度起振条件: 图1-1 三点式振荡器 式中:q m ——晶体管的跨导, F U ——反馈系数, A U ——放大器的增益, LC X X X X Xc o C L ce be 1 |||| )(= -=+-=ω,即)(Au 1 * 'ie L oe m q q q Fu q ++ >

q ie——晶体管的输入电导, q oe——晶体管的输出电导, q'L——晶体管的等效负载电导, F U一般在0.1~0.5之间取值。 2、电容三点式振荡器 1)、电容反馈三点式电路——考毕兹振荡器 图1-2是基本的三点式电路,其缺点是晶体管的输入电容C i和输出电容Co对频率稳定度的影响较大,且频率不可调。 L1L1 (a)考毕兹振荡器(b)交流等效电路 图1-2 考毕兹振荡器 2)、串联改进型电容反馈三点式电路——克拉泼振荡器 电路如图1-3所示,其特点是在L支路中串入一个可调的小电容C3,并加大C1和C2的容量,振荡频率主要由C3和L决定。C1和C2主要起电容分压反馈作用,从而大大减小了C i和C o对频率稳定度的影响,且使频率可调。

基于VHDL的乒乓球游戏机设计

1引言 EDA(Electronic Design Automation,电子设计自动化)技术是现代电子工程领域的一门新技术。它提供了基于计算机和信息技术的电路系统设计方法。EDA技术的发张和推广应用极大地推动了电子工业的发展。EDA技术就是以计算机为工具,在EDA软件平台上,对以硬件描述语言VHDL为系统逻辑描述手段完成的设计文件自动地完成逻辑编译,逻辑化简,逻辑分割,逻辑综合及优化,逻辑布局布线,逻辑仿真,直至对于特定目标芯片的适配编译,逻辑映射和编程下载等工作。设计者的工作仅限于利用软件的方式,即利用硬件描述语言来完成对系统硬件功能的描述,在EDA工具的帮助下就可以得到最后的设计结果[1]。近年来,集成电路制造技术的快速发展, 一方面促进了相应设计技术的发展, 另一方面也对设计技术提出了更高的要求。当前集成电路设计, 面临着功能强、性能好、规模大、成本低、设计周期短等一系列要求和挑战, 这些要求和挑战引起了集成电路设计方法的全面革新。当今, 以行为设计为主要标志的新一代数字系统设计理论已形成并得到发展。在集成电路的数字系统的系统级设计中, VHDL 硬件描述语言构造的描述模型优化设计, 有利于高效利用设计空间, 实现设计结构的精确分析, 使芯片资源得以充分利用。 1.1课题的背景、目的 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。而休闲时间越来越少的人们越来越没太多的时间出去搞户外活动,于是众多电子游戏相应而出,成为大家的娱乐节目。因此设计了这个两人的乒乓球游戏。而电子信息类产品的开发明显地出现了两个特点:一是开发产品的复杂程度加深;二是开发产品的上市时限紧迫。而伴随着如上两个特点的产生,相应的出现了设计上的两个问题。其一,在电子系统日趋数字化、复杂化和大规模集成化的今天,电子厂商们越加迫切地追求电子产品的高功能、优品质、低成本、微功耗和微小封装尺寸,从而使得电子设计日趋复杂。那么如何去完成这些高复杂度的电子设计呢?其二,电子产品设计周期短和上市快是电子厂商们坚持不懈的追求,那么面对日趋复杂的设计,又如何能够缩短开发时间呢?解决以上两个问题的唯一途径是电子设计自动化(EDA),即用计算机帮助设计人员完成繁琐的设计工作。

模拟电子线路multisim仿真实验报告

MULTISIM 仿真实验报告 实验一单级放大电路 一、实验目的 1、熟悉multisim软件的使用方法 2、掌握放大器的静态工作点的仿真方法,及对放大器性能的影响。 3、学习放大器静态工作点、电压放大倍数,输入电阻、输出电阻的仿真方法,了

解共射级电路的特性。 二、虚拟实验仪器及器材 双踪示波器信号发生器交流毫伏表数字万用表 三、实验步骤 1.仿真电路图 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 1 R7 5.1kΩ 9 XMM1 6 E级对地电压25.静态数据仿真

仿真数据(对地数据)单位;V计算数据单位;V 基级集电极发射级Vbe Vce RP 10k 26.动态仿真一 1.单击仪表工具栏的第四个,放置如图,并连接电路。 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 R7 5.1kΩ XSC1 A B Ext Trig + + _ _+_ 6 1 9

2.双击示波器,得到如下波形 5.他们的相位相差180度。 27.动态仿真二 1.删除负载电阻R6 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 XSC1 A B Ext Trig + + _ _+_ 6 1 9 2.重启仿真。

电子电路设计实验报告

电子电路设计实验报告 电子线路专题实验Ⅱ 一、实验要求: 1. 认真阅读学习系统线路及相关资料 2. 将键盘阵列定义为0. 1. 2------ E. F,编程实现将键盘输入内容显示在LCD显示器上。 3. 编程实现将日历、时钟显示在LED显示屏上(注意仔细阅读PCF8563资料),日历、时钟轮回显示。 4. 利用D/A转换通道(下行通道)实现锯齿波发生器;输出(1~5V)固定电压转换成(4~20mA)电流。 5. 利用A/D转换通道(上行通道)实现数据采集,将采集信号显示在LED屏上。程序要求分别具有平均值滤波、中值滤波和滑动滤波功能。 6. 将按键阵列定义成与16个语音段对应,编写程序,实现按键播放不同的语音段。 二、实验设计思路: 本次实验用c语言实现,主要包括LCD,LED,AD,DA,日历芯片,测温传感芯片。受到嵌入式系统实验的启发,将LCD,LED,I2C总线协议,键盘扫描模块接口写成一个文件库(放在library文件夹下),尽量做到调用时与底层硬件无关。通过调用库文件中的函数,实现代码的重用性。键盘,LCD的代码由于与嵌入式实验具有相通之处,因此可将高层的函数(与底层硬件无关的函数)方便地移植过来。 三、实验设计: 1.矩阵键盘扫描模块 4×4的矩阵键盘,通过扫描可得到按下键的行列值,将行列值转换为相应的对应数字0~F。函数GetKey()实现获得按键的键值。对于键盘模块对于对按键的键值识别主要是通过两次扫描而取得。对于第一次扫描,给四行键全部赋予1,然后读回键盘值,对于第二次扫描,逐行为键盘送1,每次送1后再读回键盘值,若非零,说明此行有键按下,最终确定键值。 通过调用GetKey函数构造GetChar()函数,实现获取键盘字符(’0’~’F’)的功能。

乒乓球比赛游戏机设计

目录 1 引言 0 1.1设计背景 0 1.2VHDL简介 0 1.3Q UARTUSⅡ简介 (1) 2 乒乓球比赛游戏机的设计 (1) 2.1系统设计要求 (1) 2.2设计思路 (2) 3 乒乓球比赛游戏机的实现 (4) 3.1乒乓球比赛游戏机的顶层原理图 (4) 3.2系统各功能模块的实现 (5) 3.2.1 比赛控制模块 (5) 3.2.2 记分模块 (5) 3.2.3 数码管显示模块 (6) 4 各个模块的仿真以及系统仿真、分析 (7) 4.1比赛控制模块仿真波形 (7) 4.2记分模块仿真波形图 (8) 4.3管脚锁定 (9) 4.4系统的波形仿真 (9) 4.5显示结果的几种情况 (12) 5 总结 (13) 5.1设计制作过程中遇到的问题及解决方案 (13) 5.2本设计有以下几个可以改进的地方 (13) 参考文献................................................................................................................... 错误!未定义书签。附录 (13) 游戏控制模块的VHDL程序 (13) 记分模块的VHDL程序 (16) 动态扫描模块的VHDL程序 (17) 译码器模块的VHDL程序 (18)

1 引言 1.1 设计背景 1.2 VHDL简介 硬件描述语言已经有几十年的发展历史,并且在系统的仿真、验证和设计、综合等方面得到成功的应用。目前常用的硬件描述语言有VHDL、Verilog HDL、ABEL等[2][3][4]。VHDL则起源于20世纪70年代末和80年代初,美国国防部提出的VHSIC计划,目标是为下一代集成电路的生产、实践阶段性的工艺极限和完成10万门级以上的电路设计而建立一种新的描述方法[5]。VHDL的英文全称为Very-High-Speed Integrated Circuit Hardware Description Language,是IEEE 标准化的硬件描述语言,并且已经成为系统描述的国际公认标准,得到众多EDA 公司的支持。 VHDL具有很多的优点使它能够被大多数人认可,被广泛应用在逻辑电路的设计方面,并且成为了标准化的硬件描述语言,其优点如下: (1)功能强大和设计灵活。一个简洁的使用VHDL语言编写的程序就可以描述一个复杂的逻辑电路,因为VHDL拥有强大的语言结构[6]。VHDL多层次的设计描述功能可以有效地控制设计的实现,支持设计库和可重复使用的元件生成,还支持多种设计方式,如层次化设计、模块化设计和同步、异步和随机电路设计。 (2)与具体器件无关。用VHDL设计硬件电路时不用先确定设计要用到哪种器件,也不用特别熟悉器件的内部结构,这样可以使设计人员专注于进行系统设计。设计完成后,可以根据消耗的资源选择合适的器件,而不造成资源的浪费。 (3)很强的移植能力。VHDL由很多不同的工具支持,同一个设计的程序可以在包括综合工具、仿真工具、系统平台等工具中使用。 (4)强大的硬件描述能力。VHDL可以描述系统级电路和门级电路,而且描述方式多样,可以采用行为描述、寄存器传输描述或者结构描述,也可以用其混合描述方式。同时,VHDL可以准确地建立硬件电路模型,因为它支持惯性延迟和

相关文档
相关文档 最新文档