文档库 最新最全的文档下载
当前位置:文档库 › 期末考试数字电子技术试题及答案

期末考试数字电子技术试题及答案

期末考试数字电子技术试题及答案
期末考试数字电子技术试题及答案

数字电子技术基础试题(一)

一、填空题 : (每空1分,共10分)

1. 10 = ( ) 2 = ( ) 16 。

2 . 逻辑函数L = + A+ B+ C +D = 。

3 . 三态门输出的三种状态分别为:、和。

4 . 主从型JK触发器的特性方程 = 。

5 . 用4个触发器可以存储位二进制数。

6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。

二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 )

1.设图1中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。

图 1

2.下列几种TTL电路中,输出端可实现线与功能的电路是()。

A、或非门

B、与非门

C、异或门

D、OC门

3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>Ω)

B、悬空

C、通过小电阻接地(<1KΩ)

D、通过电阻接V CC

4.图2所示电路为由555定时器构成的()。

A、施密特触发器

B、多谐振荡器

C、单稳态触发器

D、T触发器

5.请判断以下哪个电路不是时序逻辑电路()。图2

A、计数器

B、寄存器

C、译码器

D、触发器

6.下列几种A/D转换器中,转换速度最快的是()。图2 A、并行A/D转换器 B、计数型A/D转换器

C、逐次渐进型A/D转换器

D、双积分A/D转换器

7.某电路的输入波形 u I 和输出波形 u O 如图 3所示,则该电路为()。

图3

A、施密特触发器

B、反相器

C、单稳态触发器

D、JK触发器

8.要将方波脉冲的周期扩展10倍,可采用()。

A、10级施密特触发器

B、10位二进制计数器

C、十进制计数器

D、10位D/A转换器

9、已知逻辑函数与其相等的函数为()。

A、 B、 C、 D、

10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。

A、4

B、6

C、8

D、16

三、逻辑函数化简(每题5分,共10分)

1、用代数法化简为最简与或式

Y= A +

2、用卡诺图法化简为最简或与式

Y= + C +A D,约束条件:A C + A CD+AB=0

四、分析下列电路。(每题6分,共12分)

1、写出如图4所示电路的真值表及最简逻辑表达式。

图 4

2、写出如图5所示电路的最简逻辑表达式。

图 5

五、判断如图 6所示电路的逻辑功能。若已知 u B =-20V,设二极管为理想二极管,试根据 u A 输入波形,画出 u 0 的输出波形(8分)

t

图 6

六、用如图 7所示的8选1数据选择器CT74LS151实现下列函数。(8分)

Y(A,B,C,D)=Σm(1,5,6,7,9,11,12,13,14)

图 7

七、用 4位二进制计数集成芯片CT74LS161采用两种方法实现模值为10的计数器,要求画出接线图和全状态转换图。(CT74LS161如图8所示,其LD端为同步置数端,CR 为异步复位端)。(10分)

图 8

八、电路如图 9所示,试写出电路的激励方程,状态转移方程,求出Z 1 、Z 2 、Z 3 的输出逻辑表达式,并画出在CP脉冲作用下,Q 0 、Q 1 、Z 1 、Z 2 、Z 3 的输出波形。

(设 Q 0 、Q 1 的初态为0。)(12分)

数字电子技术基础试题(一)参考答案

一、填空题 :

1. 10 = ( ) 2 = ( ) 16 。

2 . 1。

3 . 高电平、低电平和高阻态。

4 . 。

5 . 四。

6 . 12、 8

二、选择题:

三、逻辑函数化简

1、Y=A+B

2、用卡诺图圈0的方法可得:Y=( +D)(A+ )( + )

四、 1、该电路为三变量判一致电路,当三个变量都相同时输出为1,否则输出为0。

2、B =1,Y = A ,

B =0 Y 呈高阻态。

五、 u 0 = u A · u B ,输出波形 u 0 如图 10所示:

图 10

六、如图 11所示:

D

图11

七、接线如图 12所示:

图 12

全状态转换图如图 13 所示:

( a )

( b )图 13

八、,,波形如图 14所示:

数字电子技术基础试题(二)

一、填空题 : (每空1分,共10分)

1.八进制数 ) 8 的等值二进制数为() 2 ;

十进制数 98 的 8421BCD 码为() 8421BCD 。

2 . TTL 与非门的多余输入端悬空时,相当于输入电平。

3 .图15所示电路中的最简逻辑表达式为。

图 15

4. 一个 JK 触发器有个稳态,它可存储位二进制数。

5. 若将一个正弦波电压信号转换成同一频率的矩形波,应采用电路。

6. 常用逻辑门电路的真值表如表1所示,则 F 1 、 F 2 、 F 3 分别属于何种常用逻辑门。

表 1

A B F 1 F 2 F 3

0 0 1 1 0

0 1 0 1 1

1 0 0 1 1

1 1 1 0 1

F 1 ;F 2 ;F 3 。

二、选择题: (选择一个正确答案填入括号内,每题3分,共30分 )

1、在四变量卡诺图中,逻辑上不相邻的一组最小项为:()

A、m 1 与m 3

B、m 4 与m 6

C、m 5 与m 13

D、m 2 与m 8

2、 L=AB+C 的对偶式为:()

A 、 A+BC ;

B 、( A+B )

C ; C 、 A+B+C ;

D 、 ABC ;

3、半加器和的输出端与输入端的逻辑关系是()

A、与非

B、或非

C、与或非

D、异或

4、 TTL 集成电路 74LS138 是3 / 8线译码器,译码器为输出低电平有效,若输入为 A 2 A 1 A 0 =101 时,输出:为()。

A . 00100000 B. 11011111 C. D. 00000100

5、属于组合逻辑电路的部件是()。

A、编码器

B、寄存器

C、触发器

D、计数器

6.存储容量为8K×8位的ROM存储器,其地址线为()条。

A、8

B、12

C、13

D、14

7、一个八位D/A转换器的最小电压增量为,当输入代码为时,输出电压为()V。

A、 B、1.54 C、 D、

8、T触发器中,当T=1时,触发器实现()功能。

A、置1

B、置0

C、计数

D、保持

9、指出下列电路中能够把串行数据变成并行数据的电路应该是()。

A、JK触发器

B、3/8线译码器

C、移位寄存器

D、十进制计数器

10、只能按地址读出信息,而不能写入信息的存储器为()。

A、 RAM

B、ROM

C、 PROM

D、EPROM

三、将下列函数化简为最简与或表达式(本题 10分)

1. (代数法)

2、F 2 ( A,B,C,D)=∑m (0,1,2,4,5,9)+∑d (7,8,10,11,12,13)(卡诺图法)

四、分析如图 16所示电路,写出其真值表和最简表达式。(10分)

五、试设计一个码检验电路,当输入的四位二进制数 A、B、C、D为8421BCD码时,输出Y为1,否则Y为0。(要求写出设计步骤并画电路图)(10分)

六、分析如图17所示电路的功能,写出驱动方程、状态方程,写出状态表或状态转换图,说明电路的类型,并判别是同步还是异步电路?(10分)

八、如图19所示的十进制集成计数器;的为低电平有效的异步复位端,试将计数器用复位法接成八进制计数器,画出电路的全状态转换图。( 10分)

图 19

数字电子技术基础试题(二)参考答案

一、填空题 :

? ,

?高

? AB

?两,一

?多谐振荡器

?同或,与非门,或门

二、选择题:

1. D 2. B 3. D 4. B 5. A

6. C

7. C

8. C

9. C 10. B

三、 1. 2.

四、 1.

2. , , ,

五、

六、同步六进制计数器,状态转换图见图 20。

图 20

八、八进制计数器电路如图 22所示。

数字电子技术基础试题(四)一、选择题(每题2分,共26分)

1.将代码()8421转换为二进制数( )。

A 、(01000011)2

B 、(01010011)2

C 、()2

D 、(0001)2 2.函数AB B A F

+=的对偶式为( )。

A 、(

B A +)()B A +? B 、B A B A +?+;

C 、

A B A ?+B + D 、))((B A B A ++

3.有符号位二进制数的原码为(11101),则对应的十进制为( )。 A 、-29 B 、+29 C 、-13 D 、+13 4.逻辑函数)(F E BCD BD A AC Y

+++=的最简的与或式( )。

A 、AC+BD ;

B 、BD A A

C + C 、AC+B

D 、A+BD

5.逻辑函数的F=

BC B A B A ++的标准与或式为( )。

A 、∑)7,5,4,3,2(

B 、∑)6,4,3,2,1(

C 、

∑)5,3,2,1,0( D 、∑)7,6,5,4,3(

6.逻辑函数Y (A ,B ,C )=

∑)5,4,2,0(的最简与或非式为( )

。 A 、AB C A + B 、C A B A + C 、

B A

C A +

D 、C B C A B A ++

7.逻辑函数Y (A ,B ,C ,D )=

∑)9,6,5,4,2,1(其约束条件为AB+AC=0则最简与

或式为( )。 A 、D C D C C

B ++ B 、D

C A

D C C B ++ ;

C 、

D C D C D C A ++ D 、C A D B B A ++

8.下图为TTL 逻辑门,其输出Y 为( )。

A 、0

B 、 1

C 、

B A +

D 、B A ? 9.下图为OD 门组成的线与电路其输出Y 为( )。

A 、1

B 、0

C 、B

D 、

B A ?

10.下图中触发器的次态方程Q n+1

为( )。

A 、A

B 、0

C 、Q n

D 、Q

n

11.RS 触发器要求状态由0 → 1其输入信号为( )。 A 、RS=01 B 、RS=×1 C 、RS=×0 D、RS=10

12.电源电压为+12V 的555定时器、组成施密特触发器,控制端开路,则该触发器

的回差电压△V T 为( )。

A 、4V

B 、6V

C 、8V

D 、12V 13.为了将三角波换为同频率的矩形波,应选用( )。

A 、施密特触发器

B 、单稳态触发器

C 、多谐振器

D 、计数器 二、判断题(每题1分,共10分) ( )1.OC 门的输出端可并联使用。

( )2.当TTL 门输出电流I OH =, I OL =16mA,I IH =40μA,I IL =1mA 时N=16。 ( )3.N 进制计数器可以实现N 分频。

( )4.组合逻辑电路在任意时刻的输出不仅与该时刻的输入有关,,还与电路原

来的状态有关。

( )5.单稳态触发器暂稳态维持时间的长短取决于外界触发脉冲的频率和幅度。 ( )6.在逻辑电路中三极管即可工作在放大,饱和、截止状态。 ( )7.逻辑函数Y=D B C A AB ++

满足一定条件时存在两处竞争—冒险。

( )8.寄存器、编码器、译存器、加法器都是组合电路逻辑部件。 ( )9.二进制数(101110)B 转换成8421BCD 码为(0100 0110)8421。 ( )10.逻辑函数Y (ABC )=∑)4,2,0(m 时即:Y (ABC )=)7,6,5,3,1(m ∏。

三、分析题(共20分)

1.试分析同步时序逻辑电路,要求写出各触发器的驱动方程、状态方程,画出完整的状态转换图(按Q 3Q 2Q 1排列)。(6分)

2.分析下图由74160构成的计数器为几进制计数器,画出有效状态转换图。(4分)

3.分析逻辑电路,要求写出输出逻辑式、列出真值表、说明其逻辑功能。(6分)

4.分析如下74LS153数据选择器构成电路的输出逻辑函数式。(4分)

四、设计题(共26分)

F

A

B

Y D 0 D 1 D 2 D 3

A 1 A

RD

1.用74LS160及少量的与非门组成能显示00~48的计数器(使用

完成)。(8分)

2.试用图示3线-8线译码器74LS138和必要的门电路产生如下多输出逻辑函数。要求:(1)写出表达式的转换过程(6分);(2)在给定的逻辑符号图上完成最终电路图。(6分)

?

??

??+=++=+=C

B A

C B Y BC C B A C B A Y BC AC Y 321

3.使用74LS161和74LS152设计一个序列信号发生器,产生的8位序列信号为00010111(时间顺序自左向右)。(6分)

D 0 D 1 D 2 D 3

Q 0 Q 1 Q 2

Q 3

EP ET

CP C

LD D R

74160 D 0 D 1 D 2 D 3

Q 0

Q 1 Q 2

Q 3

EP ET

CP C LD D R

74160

五、画图题(共18分)

1.用555定时器及电阻R 1、R 2和电容C 构成一个多谐振荡器电路。画出电路,并写出脉冲周期T 的计算公式。(8分)

2.图(a )中CP 的波形如图(b )所示。要求:

(1)写出触发器次态Q n+1

的最简函数表达式和Y 1、Y 2的输出方程。(4分) (2)在图(b )中画出Q 、Y 1和Y 2的波形(设Q n

=0)(6分)

图(a )

图(b )

V CC

DISC

V CO

GND d R

555

V O

TH

TR

数字电子技术基础试题答案(A 卷)

一、选择题(26分每题2分)

1、B

2、 A

3、C

4、B

5、A

6、A

7、A

8、A

9、A 10、A 11、A

12、A 13、B

二、判断题(10分每小题1分)

1、√

2、×

3、√

4、×

5、√

6、×

7、√

8、×

9、×√10、× 三、分析题(22分) 1. (8分)

①驱动方程(3分) ②状态方程(3分)

2

1

3

212321321Q K Q K Q K Q J Q J Q J ======

③状态转换图(2分)

2、(4分)

为五进制计数器(2分)

状态转换图(2分)

2

3232312121213121Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q =+==+=+=

3、(6分)

①逻辑式:(2分)B A Y B A B A Y B A Y =+==321;;

②真值表:(2分)

③逻辑功能:(2分) 数值比较器 4、(4分)

A A

B B A F =+=

四、设计题(24分) 1、(6分)

数字电路笔试题目汇总

数字电路笔试汇总 2、什么是同步逻辑和异步逻辑?(汉王笔试) 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 電路設計可分類為同步電路和非同步電路設計。同步電路利用時鐘脈衝使其子系統同步運作,而非同 步電路不使用時鐘脈衝做同步,其子系統是使用特殊的“開始”和“完成”信號使之同步。由於非同步電 路具有下列優點--無時鐘歪斜問題、低電源消耗、平均效能而非最差效能、模組性、可組合和可複用性-- 因此近年來對非同步電路研究增加快速,論文發表數以倍增,而Intel Pentium 4處理器設計,也開始採用 非同步電路設計。 异步电路主要是组合逻辑电路,用于产生地址译码器、FIFO或RAM的读写控制信号脉冲,其逻 辑输出与任何时钟信号都没有关系,译码输出产生的毛刺通常是可以监控的。同步电路是由时序电路(寄存 器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些时序电路 共享同一个时钟CLK,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的。 3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试) 线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现(漏极或者集电极开路),由于不用oc门可能使灌电流过大,而烧坏逻辑门,同时在输出端口应加一个上拉电阻。(线或则是下拉电阻) 4、什么是Setup 和Holdup时间?(汉王笔试) 解释setup和hold time violation,画图说明,并说明解决办法。(威盛VIA 2003.11.06 上海笔试试题) Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信 号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下 一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不 变的时间。如果hold time不够,数据同样不能被打入触发器。 建立时间(Setup Time)和保持时间(Hold time)。建立时间是指在时钟边沿前,数据信号需要保持不 变的时间。保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。如果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

电力电子技术期末考试试题及答案(1)

电力电子技术试题 第 1 章电力电子器件 1.电力电子器件一般工作在 __开关__状态。 2.在通常情况下,电力电子器件功率损耗主要为 __通态损耗 __,而当器件开关频率较高时,功率损耗主要为 __开关损耗 __。 3.电力电子器件组成的系统,一般由 __控制电路 __、_驱动电路 _、 _主电路 _三部分组成,由于电路中存在电压和电流的过冲,往往需添加 _保护电路 __。 4.按内部电子和空穴两种载流子参与导电的情况,电力电子器件可分为 _单极型器件 _ 、 _双极型器件_ 、_复合型器件 _三类。 5.电力二极管的工作特性可概括为 _承受正向电压导通,承受反相电压截止 _。 6.电力二极管的主要类型有 _普通二极管 _、_快恢复二极管 _、 _肖特基二极管 _。 7. 肖特基二极管的开关损耗 _小于快恢复二极管的开关损耗。 8.晶闸管的基本工作特性可概括为__正向电压门极有触发则导通、反向电压则截止__ 。 9.对同一晶闸管,维持电流 IH与擎住电流 IL 在数值大小上有 IL__大于__IH 。 10.晶闸管断态不重复电压 UDSM与转折电压 Ubo数值大小上应为, UDSM_大于 __Ubo。 11.逆导晶闸管是将 _二极管_与晶闸管 _反并联_(如何连接)在同一管芯上的功率集成器件。 12.GTO的__多元集成 __结构是为了便于实现门极控制关断而设计的。 13.MOSFET的漏极伏安特性中的三个区域与GTR共发射极接法时的输出特性中的三个区域有对应关系,其中前者的截止区对应后者的 _截止区 _、前者的饱和区对应后者的 __放大区 __、前者的非饱和区对应后者的 _饱和区 __。 14.电力 MOSFET的通态电阻具有 __正 __温度系数。 15.IGBT 的开启电压 UGE(th )随温度升高而 _略有下降 __,开关速度 __小于__电力 MOSFET。 16.按照驱动电路加在电力电子器件控制端和公共端之间的性质,可将电力电子器件分为 _电压驱动型 _和_电流驱动型_两类。 17.IGBT的通态压降在 1/2 或1/3 额定电流以下区段具有 __负___温度系数,在1/2 或 1/3 额定电流以上区段具有 __正___温度系数。

电力电子技术期末考试试题及答案(史上最全)

电力电子技术试题 第1章电力电子器件 1.电力电子器件一般工作在__开关__状态。 2.在通常情况下,电力电子器件功率损耗主要为__通态损耗__,而当器件开关频率较高 时,功率损耗主要为__开关损耗__。 3.电力电子器件组成的系统,一般由__控制电路__、_驱动电路_、 _主电路_三部分组成, 由于电路中存在电压和电流的过冲,往往需添加_保护电路__。 4.按内部电子和空穴两种载流子参与导电的情况,电力电子器件可分为_单极型器件_ 、 _ 双极型器件_ 、_复合型器件_三类。 5.电力二极管的工作特性可概括为_承受正向电压导通,承受反相电压截止_。 6.电力二极管的主要类型有_普通二极管_、_快恢复二极管_、 _肖特基二极管_。 7.肖特基 二极管的开关损耗_小于_快恢复二极管的开关损耗。 8.晶闸管的基本工作特性可概括为 __正向电压门极有触发则导通、反向电压则截止__ 。 9.对同一晶闸管,维持电流IH与擎住电流IL在数值大小上有IL__大于__IH 。 10.晶闸管断态不重复电压UDSM与转折电压Ubo数值大小上应为,UDSM_大于__Ubo。 11.逆导晶闸管是将_二极管_与晶闸管_反并联_(如何连接)在同一管芯上的功率集成器件。的__多元集成__结构是为了便于实现门极控制关断而设计的。 的漏极伏安特性中的三个区域与GTR共发射极接法时的输出特性中的三个区域有对应关系,其中前者的截止区对应后者的_截止区_、前者的饱和区对应后者的__放大区__、前者的非饱和区对应后者的_饱和区__。 14.电力MOSFET的通态电阻具有__正__温度系数。 的开启电压UGE(th)随温度升高而_略有下降__,开关速度__小于__电力MOSFET 。 16.按照驱动电路加在电力电子器件控制端和公共端之间的性质,可将电力电子器件分为_电压驱动型_和_电流驱动型_两类。 的通态压降在1/2或1/3额定电流以下区段具有__负___温度系数,在1/2或1/3额定电流以上区段具有__正___温度系数。 18.在如下器件:电力二极管(Power Diode)、晶闸管(SCR)、门极可关断晶闸管(GTO)、电力晶体管(GTR)、电力场效应管(电力MOSFET)、绝缘栅双极型晶体管(IGBT)中,属

数字电子技术试卷试题答案汇总

数字电子技术基础试卷试题答案汇总 一、 填空题(每空1分,共20分) 1、逻辑代数中3种基本运算是(与运算、或运算、非运算) 2、逻辑代数中三个基本运算规则 (代入规则、反演规则、对偶规则) 3、逻辑函数的化简有 公式法 , 卡诺图 两种方法。 4、A+B+C= A ’B ’C ’ 。 5、TTL 与非门的u I ≤U OFF 时,与非门 关闭 ,输出 高电平 ,u I ≥U ON 时,与非门 导通 ,输出 低电平 。 6、组合逻辑电路没有 记忆 功能。 7、竞争冒险的判断方法 代数方法 , 卡诺图法 。 8、触发器它2 稳态,主从RS 触发器的特性方程Q ’’=S+RQ ’ SR=0 , 主从JK 触发器的特性方Q ’’=JQ ’+K ’Q ,D 触发器的特性方程 Q ’’=D 。 二、 选择题(每题1分,共10分) 1、相同为“0”不同为“1”它的逻辑关系是 ( C ) A 、或逻辑 B 、与逻辑 C 、异或逻辑 2、Y (A ,B ,C ,)=∑m (0,1,2,3)逻辑函数的化简式 ( C ) A 、Y=AB+BC+ABC B 、Y=A+B C 、Y=A (A) 3、 A 、Y=A B B 、Y 处于悬浮状态 C 、Y=B A + 4、下列图中的逻辑关系正确的是 ( A ) A.Y=B A + B.Y=B A + C.Y=AB 5、下列说确的是 ( A ) A 、主从JK 触发器没有空翻现象 B 、JK 之间有约束 C 、主从JK 触发器的特性方程是CP 上升沿有效。 6、下列说确的是 ( C ) A 、同步触发器没有空翻现象 B 、同步触发器能用于组成计数器、移位寄存器。 C 、同步触发器不能用于组成计数器、移位寄存器。 7、下列说法是正确的是 ( A ) A 、异步计数器的计数脉冲只加到部分触发器上 B 、异步计数器的计数脉冲同时加到所有触发器上 C 、异步计数器不需要计数脉冲的控制

电工电子技术期末考试试题及答案汇总

成绩统计表 专业班级____________ 考生姓名:____________ 学号_______ 请将选择题答案填入下表: 一.选择(20分、2分/题) 1.变压器降压使用时,能输出较大的____b_____。 A、功率 B、电流 C、电能 D、电功 2.三相异步电动机旋转磁场的旋转方向是由三相电源的________b_决定。 A、相位 B、相序 C、频率 D、相位角3.电气控制线路原理图中,触头的位置是处于______a___。A、未通电状态B、通电状态C、根据情况确定状态4.为保证机床操作者的安全,机床照明灯的电压应选____d_____。 A、380V B、220V C、110V D、36V以下5.关于提高功率因数的说法,正确的是( c ) A.在感性负载上并联电感可以提高功率因数 B.在感性负载上并联电容可以降低功率因数

C.在感性负载上并联电容可以提高功率因数 6.乙类互补对称式功放电路,其输出波形的交越失真是指( c )。A.频率失真B、相位失真C、波形过零时出现的失真D、幅度失真 7.稳压管的动态电阻(b )稳压性能越好。 A、越大 B、越小 C、较合适 D、不一定 8.运算放大器电路如图所示,该电路中反馈类型为( )。a (A) 串联电压负反馈(B) 串联电流负反馈 (C) 并联电压负反馈(D) 并联电流负反馈 ∞ 9.单稳态触发器的输出状态有(a) A、一个稳态、一个暂态 B、两个稳态 C、只有一个稳态 D、没有稳态 10.一个8选1多路选择器,输入地址有 c 。

A、2位 B、3位 C、4位 D、8位 二、计算题(70分) 1.已知图5所示电路中U S1=24V,U S2=6V,R1=12Ω,R2=6Ω,R3=2Ω,试用戴维宁定理求流过电阻R3中的电流I3。(10分) a I3 b 2.如图所示R-L串联电路,R=280Ω,R L=20Ω,L=1.65H,电源电压U=220V,电源频率为50H Z。(10分)

电子技术期末考试试卷及答案

2、射极输出器电路中,输出电压U o 与输入电压u i 之间的关系是( )。 (a ) 两者反相,输出电压大于输出电压 (b ) 两者同相,输出电压近似等于输入电压 (c ) 两者相位差90 ,且大小相等 3、为了放大变化缓慢的信号或直流信号,多级放大器级与级之间必须采 用( )。 (a ) 阻容耦合 (b ) 变压器耦合 (c ) 直接耦合 汁侶吗llTFF (咏宀、 方 亠z-r /咏宀\ 命题教帅(签字) 试做教师(签字) 系、室土任(签字) )匚记标修重 ................ 名姓 题号 -一- _ 二 _ 三 四 五 七 八 合计 满分 32 10 8 10 6 7六 10 12 丿八 12 100 实得分 评阅人 得分 、单项选择题:在下列各题中,将唯一正确的答案代码填 入括号内(本大题共16小题,总32分) 1、 电 路如图 所 示, 所有二极管 状 态为 ( )。 ⑻ D 1导 通,D 2、 D 3 截 止 (b) D 1、 D 2截止 D 3导通 (c) D 1、 D 3截止, D 2导 通 (d) D 1、 D 2、D 3均 截 止 均为理想元件,则D ,、D 2、D 3的工作 12V +6V J 1 ----------------- W D2 Ld D3 白R 0V D i

⑻L i、C i组成的电路 (b)L、C组成的电路 (c)L2、&组成的电路 +U cc 5、正弦波振荡器如图所示,为了获得频率可调的输出电压,则应该调节的电阻是()。 ⑻R i (b)R F(c)R U o 6、模拟电路中晶体管大多工作于()。 ⑻放大状态(b)开关状态(c)击穿状态 7、数字电路中的工作信号为()。 ⑻随时间连续变化的电信号(b)脉冲信号(c)直流信号 8、逻辑电路如图所示,分析图中C, J, K的波形。当初始状态为“ 0” 时,输出Q是“1”的瞬间为()。 ⑻t i (b) t2 (c) t3

数字电子技术基础—试题—解答

数字电子技术基础—试题—解答

三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 1、Y=A+B 2、用卡诺图法化简为最简或与式 Y= + C +A D, 约束条件:A C + A CD+AB=0 2、用卡诺图圈0的方法可得:Y=(+D)(A+ )(+ ) 四、分析下列电路。(每题6分,共12分) 1、写出如图4所示电路的真值表及最简逻辑表达式。 图 4 1、该电路为三变量判一致电路,当三个变量都相同时输出为1,否则输出为0。 2、写出如图5所示电路的最简逻辑表达式。 2、 B =1,Y = A , B =0 Y 呈高阻态。

五、判断如图 6所示电路的逻辑功能。若已知 u B =-20V,设二极管为理想二极管,试根据 u A 输入波形,画出 u 0 的输出波形(8分) t 图 6 五、 u 0 = u A · u B ,输出波形 u 0 如图 10所示: 图 10 六、用如图 7所示的8选1数据选择器CT74LS151实现下列函数。(8分) Y(A,B,C,D)=Σm(1,5,6,7,9,11,12,13,14) 图 7 答: 七、用 4位二进制计数集成芯片CT74LS161采用两种方法实现模值为10的计数器,要求画出接线图和全状态转换图。(CT74LS161如图8所示,其LD端为同步置数端,CR为异步复位端)。(10分) 图 8 七、接线如图 12所示:

三、将下列函数化简为最简与或表达式(本题 10分) 1. (代数法) 2、F 2 ( A,B,C,D)=∑m (0,1,2,4,5,9)+∑d (7,8,10,11,12,13)(卡诺图法) 三、 1. 2. 四、分析如图 16所示电路,写出其真值表和最简表达式。(10分) 四、 1. 2. , , , 五、试设计一个码检验电路,当输入的四位二进制数 A、B、C、D为8421BCD码时,输出Y为1,否则Y为0。(要求写出设计步骤并画电路图)(10分) 五、 六、分析如图17所示电路的功能,写出驱动方程、状态方程,写出状态表或状态转换图,说明电路的类型,并判别是同步还是异步电路?(10分) 六、同步六进制计数器,状态转换图见图 20。 图 20

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

电工电子技术期末考试试卷及答案

《电工电子技术基础》期末考试试卷 (闭卷) 一、填空题(每空1分,共40分) 1、交流电的电流或电压在变化过程中的任一瞬间,都有确定的大小和方向,叫做交流电该时刻的瞬时值,分别用小写字母 i 、 u 表示。 2、数字电路中只有 0 和 1 两个数码。 3、三相电源的中线一般是接地的,所以中线又称__地___线。三相电源三相绕组的首端引出的三根导线叫做___相__线。 4、(1011)2 = ( 11 )10。 5、电容和电阻都是电路中的基本元件,但它们在电路中所起的作用却是不同的,从能量上看,电容是_储能____元件,电阻是__耗能____元件。 6、为了反映功率利用率把有功功率和视在功率的比值叫功率因数。 7、正弦交流电的三要素是振幅、频率和初相。 8、实际电压源总有内阻,因此实际电压源可以用电动势与电阻串联的组合模型来等效。 9、基本门电路有与门、或门和非门。 10、能够实现“有0出1,全1出0”逻辑关系的门电路是与非门。 11、能够实现“有1出0,全0出1”逻辑关系的门电路是或非门。 12、能够实现“相异出1,相同出0”逻辑关系的门电路是异或门。 13、在交流电中,电流、电压随时间按正弦规律变化的,称为正弦交流电。正弦交流电的三要素是指最大值、角频率、初相位。 14、工频电流的频率f= 50 Hz。 15、设u=311sin314t V,则此电压的最大值为 311V ,频率为 50HZ ,初相位为 0 。 16、在如图所示的电路,已知I1 = 1A,I2 = 3A ,I5 =4.5A,则I3 = 4 A,I4 = 0.5 A,则I6 = 3.5 A。

17、半导体三极管是由发射极、基极、集电极三个电 极,发射结、集电结两个PN结构成。 18、三极管按其内部结构分为 NPN 和 PNP 两种类型。 19、晶体三极管作共射组态时,其输入特性与二极管类似,但其输出特性 较为复杂,可分为放大区外,还有截止区和饱和区。 20、二极管具有单相导电性特性。 二、单项选择题(每小题2分,共10分) 1、如图所示电路中,电压表的内阻Rv为20KΩ,则电压表的指示为( B )。 20KΩ 20KΩ A.5V B.10V C.15V D.30V 2、在三相交流电路中,当负载为对称且三角型连接时,线电流与相电流的 相位关系是( D )。 A. 线电压超前相电压300 B. 线电压滞后相电压300 C. 线电流超前相电流300 D. 线电流滞后相电流300 3、叠加定理可以用在线性电路中不能叠加的是( C )。 A、电压 B、电流 C、功率 D、电动势 4、如图所示电路中,若电阻从2Ω变到10Ω,则电流i( C )。 R i s A.变大 B. 变小 C.不变 D.不确定 5、如图所示电路,电路的电流I=( A )。

数字电子技术试卷和答案

数字电子技术试卷(1) 一.填空(16) 1.十进制数123的二进制数是 1111011 ;十六进制数是 7B 。 2.100001100001是8421BCD 码,其十进制为 861 。 3.逻辑代数的三种基本运算是 与 , 或 和 非 。 4.三态门的工作状态是 0 , 1 , 高阻 。 5.描述触发器逻辑功能的方法有 真值表,逻辑图,逻辑表达式,卡诺图,波形图 。 6.施密特触发器的主要应用是 波形的整形 。 7.设4位D/A 转换器的满度输出电压位30伏,则输入数字量为1010时的输出模拟电压为 。 8.实现A/D 转换的主要方法有 , , 。 二.判断题(10) 1.BCD 码即8421码 ( 错 ) 2.八位二进制数可以表示256种不同状态。 ( 对 ) 3.TTL 与非门与CMOS 与非门的逻辑功能不一样。 ( ) 4.多个三态门的输出端相连于一总线上,使用时须只让一个三态门传送信号,其他门处于高阻状态。 (对 ) 5.计数器可作分频器。 ( 对 ) 三.化简逻辑函数(14) 1.用公式法化简- - +++=A D DCE BD B A Y ,化为最简与或表达式。 解;D B A Y +=- 2.用卡诺图化简∑∑= m d D C B A Y ),,,,()+,,,, (84210107653),,,(,化为最简与或表达式。 四.电路如图1所示,要求写出输出函数表达式,并说出其逻辑功能。(15) 解;C B A Y ⊕⊕=, C B A AB C )(1++=,全加器,Y 为和,1C 为进位。 五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画出Q 端波形(设初态Q =0)(15) 解;(1)AQ Q Q n +=- +1 ,(2)、A Q n =+1 六.试用触发器和门电路设计一个同步的五进制计数器。(15) 七.用集成电路定时器555所构成的自激多谐振荡器电路如图3所示,试画出V O ,V C 的工作波形,并求出振荡频率。(15)

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

电工电子技术期末考试试题及答案

专业班级____________ 考生姓名:____________ 学号_______ 一.选择(20分、2分/题) 1.变压器降压使用时,能输出较大的____b_____。 A、功率 B、电流 C、电能 D、电功 2.三相异步电动机旋转磁场的旋转方向是由三相电源的 ________b_决定。 A、相位 B、相序 C、频率 D、相位角 3.电气控制线路原理图中,触头的位置是处于______a___。 A、未通电状态 B、通电状态 C、根据情况确定状 态 4.为保证机床操作者的安全,机床照明灯的电压应选 ____d_____。 A、380V B、220V C、110V D、36V以下 5.关于提高功率因数的说法,正确的是( c ) A.在感性负载上并联电感可以提高功率因数

B.在感性负载上并联电容可以降低功率因数 C.在感性负载上并联电容可以提高功率因数 6.乙类互补对称式功放电路,其输出波形的交越失真是指( c )。 A.频率失真 B、相位失真 C、波形过零时出现的失真 D、幅度失真 7.稳压管的动态电阻( b )稳压性能越好。 A、越大 B、越小 C、较合适 D、不一定 8.运算放大器电路如图所示,该电路中反馈类型为( )。a (A) 串联电压负反馈(B) 串联电流负反馈 (C) 并联电压负反馈(D) 并联电流负反馈 -+∞ + u O u i 9.单稳态触发器的输出状态有( a) A、一个稳态、一个暂态 B、两个稳态 C、只有一个稳态 D、没有稳态 10.一个8选1多路选择器,输入地址有 c 。 A、2位 B、3位 C、4位 D、8位 二、计算题(70分) 1.已知图5所示电路中U S1=24V,U S2 =6V,R 1 =12Ω,R 2 =6 Ω,R 3=2Ω,试用戴维宁定理求流过电阻R 3 中的电流I 3 。(10分) a I

数字电子技术试题及答案解析

一、单项选择题(每小题1分,共15分) 在下列每小题的四个备选答案中选出一个正确的答案,并将其字母标号填入题干的括号内。 1.一位十六进制数可以用多少位二进制数来表示?( C ) A . 1 B . 2 C . 4 D . 16 2.以下电路中常用于总线应用的是( A ) A.T S L 门 B.O C 门 C. 漏极开路门 D.C M O S 与非门 3.以下表达式中符合逻辑运算法则的是( D ) A.C ·C =C 2 B.1+1=10 C.0<1 D.A +1=1 4.T 触发器的功能是( D ) A . 翻转、置“0” B. 保持、置“1” C. 置“1”、置“0” D. 翻转、保持 5. 存储8位二进制信息要多少个触发器(D ) A.2 B.3 C.4 D.8 6.多谐振荡器可产生的波形是( B ) A.正弦波 B.矩形脉冲 C.三角波 D.锯齿波 7.一个16选一的数据选择器,其地址输入(选择控制输入)端的个 数是( C ) A.1 B.2 C.4 D.16 8.引起组合逻辑电路中竟争与冒险的原因是( C ) A.逻辑关系错; B.干扰信号; C.电路延时; D.电源不稳定。 9.同步计数器和异步计数器比较,同步计数器的最显著优点是( A ) A.工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟C P 控制 10.N 个触发器可以构成能寄存多少位二进制数码的寄存器?( B ) A.N -1 B.N C.N +1 D.2N 11.若用J K 触发器来实现特性方程AB Q A Q n 1n +=+,则J K 端的方程应为 ( B ) A.J =A B ,K =B A B.J =A B ,K =B A C.J =B A +,K =A B D.J =B A ,K =A B 12.一个无符号10位数字输入的D A C ,其输出电平的级数是( C ) A.4 B.10 C.1024 D.100 13.要构成容量为4K ×8的RAM ,需要多少片容量为256×4的RAM ?( D ) A.2 B.4 C.8 D.32 14.随机存取存储器R A M 中的内容,当电源断掉后又接通,则存储器中的内容将如何变换?( C ) A.全部改变 B.全部为1 C.不确定 D.保持不变 15.用555定时器构成单稳态触发器,其输出的脉宽为( B ) A.0.7RC ; B.1.1RC ; C.1.4RC ; D.1.8RC ;

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

电力电子技术期末考试试题及答案最新版本

电力电子技术试题
第 1 章 电力电子器件 1.电力电子器件一般工作在__开关__状态。 5.电力二极管的工作特性可概括为_承受正向电压导通,承受反相电压截止_。 6.电力二极管的主要类型有_普通二极管_、_快恢复二极管_、 _肖特基二极管_。 8.晶闸管的基本工作特性可概括为 __正向电压门极有触发则导通、反向电压则截止__ 。 18.在如下器件:电力二极管(Power Diode)、晶闸管(SCR)、门极可关断晶闸管(GTO)、电力晶体管(GTR)、电力场效应管(电力 MOSFET)、绝缘 栅双极型晶体管(IGBT)中,属于不可控器件的是_电力二极管__,属于半控型器件的是__晶闸管_,属于全控型器件的是_ GTO 、GTR 、电力 MOSFET 、 IGBT _;属于单极型电力电子器件的有_电力 MOSFET _,属于双极型器件的有_电力二极管、晶闸管、GTO 、GTR _,属于复合型电力电子器件得有 __ IGBT _;在可控的器件中,容量最大的是_晶闸管_,工作频率最高的是_电力 MOSFET,属于电压驱动的是电力 MOSFET 、IGBT _,属于电流驱动的是_晶闸管、
GTO 、GTR _。2、可关断晶闸管的图形符号是 ;电力场效应晶体管的图形符号是
绝缘栅双极晶体管的图形符号是
;电力晶体管的图形符号是

第 2 章 整流电路 1.电阻负载的特点是_电压和电流成正比且波形相同_,在单相半波可控整流电阻性负载电路中,晶闸管控制角 α 的最大移相范围是_0-180O_。 2.阻感负载的特点是_流过电感的电流不能突变,在单相半波可控整流带阻感负载并联续流二极管的电路中,晶闸管控制角 α 的最大移相范围是__0-180O
_ ,其承受的最大正反向电压均为_ 2U2 __,续流二极管承受的最大反向电压为__ 2U2 _(设 U2 为相电压有效值)。
3.单相桥式全控整流电路中,带纯电阻负载时,α 角移相范围为__0-180O _,单个晶闸管所承受的最大正向电压和反向电压分别为__ 2U2 2 和_ 2U2 ;
带阻感负载时,α 角移相范围为_0-90O _,单个晶闸管所承受的最大正向电压和反向电压分别为__ 2U2 _和__ 2U2 _;带反电动势负载时,欲使电阻上的电
流不出现断续现象,可在主电路中直流输出侧串联一个_平波电抗器_。
5.电阻性负载三相半波可控整流电路中,晶闸管所承受的最大正向电压 UFm 等于__ 2U2 _,晶闸管控制角 α 的最大移相范围是_0-150o_,使负载电流连
续的条件为__ 30o __(U2 为相电压有效值)。
6.三相半波可控整流电路中的三个晶闸管的触发脉冲相位按相序依次互差_120o _,当它带阻感负载时, 的移相范围为__0-90o _。 7.三相桥式全控整流电路带电阻负载工作中,共阴极组中处于通态的晶闸管对应的是_最高__的相电压,而共阳极组中处于导通的晶闸管对应的是_最低_
的相电压;这种电路
角的移相范围是_0-120o _,ud 波形连续的条件是_ 60o _。
8.对于三相半波可控整流电路,换相重迭角的影响,将使用输出电压平均值__下降_。
11.实际工作中,整流电路输出的电压是周期性的非正弦函数,当
从 0°~90°变化时,整流输出的电压 ud 的谐波幅值随
的增大而 _增大_,

从 90°~180°变化时,整流输出的电压 ud 的谐波幅值随
的增大而_减小_。
12. 逆 变 电 路 中 , 当 交 流 侧 和 电 网 连 结 时 , 这 种 电 路 称 为 _ 有 源 逆 变 _ , 欲 实 现 有 源 逆 变 , 只 能 采 用 __ 全 控 _ 电 路 ; 对 于 单 相 全 波 电 路 , 当 控制 角
0<
<
时,电路工作在__整流_状态;
时,电路工作在__逆变_状态。
13.在整流电路中,能够实现有源逆变的有_单相全波_、_三相桥式整流电路_等(可控整流电路均可),其工作在有源逆变状态的条件是_有直流电动势,
其极性和晶闸管导通方向一致,其值大于变流器直流侧平均电压_和__晶闸管的控制角 a > 90O,使输出平均电压 Ud 为负值_。 第 3 章 直流斩波电路
1.直流斩波电路完成得是直流到_直流_的变换。
2.直流斩波电路中最基本的两种电路是_降压斩波电路 和_升压斩波电路_。
3.斩波电路有三种控制方式:_脉冲宽度调制(PWM)_、_频率调制_和_(ton 和 T 都可调,改变占空比)混合型。
6.CuK 斩波电路电压的输入输出关系相同的有__升压斩波电路___、__Sepic 斩波电路_和__Zeta 斩波电路__。
7.Sepic 斩波电路和 Zeta 斩波电路具有相同的输入输出关系,所不同的是:_ Sepic 斩波电路_的电源电流和负载电流均连续,_ Zeta 斩波电路_的输入、输
出电流均是断续的,但两种电路输出的电压都为__正_极性的 。
8.斩波电路用于拖动直流电动机时,降压斩波电路能使电动机工作于第__1__象限,升压斩波电路能使电动机工作于第__2__象限,_电流可逆斩波电路能
编辑版 word

数字电子技术试卷试题答案汇总(完整版)

数字电子技术试卷试题答案汇总(完整版)

数字电子技术基础试卷试题答案汇总 一、 填空题(每空1分,共20分) 1、逻辑代数中3种基本运算是 , , 。 2、逻辑代数中三个基本运算规 则 , , 。 3、逻辑函数的化简有 , 两种方法。 4、A+B+C= 。 5、TTL 与非门的u I ≤U OFF 时,与非门 ,输出 ,u I ≥U ON 时,与 非门 ,输出 。 6、组合逻辑电路没有 功能。 7、竞争冒险的判断方法 , 。 8、触发器它有 稳态。主从RS 触发器的特性方 程 , 主从JK 触发器的特性方程 ,D 触发器的特性方 程 。 二、 选择题(每题1分,共10分) 1、相同为“0”不同为“1”它的逻辑关系是 ( ) A 、或逻辑 B 、与逻辑 C 、异或逻辑 2、Y (A ,B ,C ,)=∑m (0,1,2,3)逻辑函数的化简式 ( ) A 、Y=AB+BC+ABC B 、Y=A+B C 、Y=A 3、 A 、Y=A B B 、Y 处于悬浮状态 C 、Y=B A + 4、下列图中的逻辑关系正确的是 ( ) A.Y=B A + B.Y=B A + C.Y=AB 5、下列说法正确的是 ( ) A 、主从JK 触发器没有空翻现象 B 、JK 之间有约束 C 、主从JK 触发器的特性方程是CP 上升沿有效。 6、下列说法正确的是 ( ) A 、同步触发器没有空翻现象 B 、同步触发器能用于组成计数器、移位寄存器。 C 、同步触发器不能用于组成计数器、移位寄存器。 7、下列说法是正确的是 ( ) A 、异步计数器的计数脉冲只加到部分触发器上 B 、异步计数器的计数脉冲 同时加到所有触发器上 C 、异步计数器不需要计数脉冲的控制 8、下列说法是正确的是 ( )

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

相关文档
相关文档 最新文档