文档库 最新最全的文档下载
当前位置:文档库 › 数字电路复习题及答案

数字电路复习题及答案

数字电路复习题及答案
数字电路复习题及答案

《数字电子技术基础》复习题

一.选择填空题(以下每小题后均给出了几个可供选择的答案,请选择其中一个最合适的答案填入空格中)

1.处理 b 的电子电路是数字电路。

(a)交流电压信号(b)时间和幅值上离散的信号

(c)时间和幅值上连续变化的信号(d)无法确定

2.用不同数制的数字来表示2004,位数最少的是 d 。

(a)二进制(b)八进制(c)十进制(d)十六进制

3.最常用的BCD码是 b 。

(a)5421码(b)8421码(c)余3码(d)循环码

4.格雷码的优点是 c 。

(a)代码短(b)记忆方便(c)两组相邻代码之间只有一位不同(d)同时具备以上三者

5.两个开关控制一盏灯,只有两个开关都闭合时灯才不亮,则该电路的逻辑关系是 a 。

(a)与非(b)或非(c)同或(d)异或

6.已知F=ABC+CD,选出下列可以肯定使F=0的取值 d

(a)ABC=011 (b)BC=11 (c)CD=10 (d)BCD=111

7.2004个1连续异或的结果是 a 。

(a)0 (b)1 (c)不唯一(d)逻辑概念错误

二、填空题(请在空格中填上合适的词语,将题中的论述补充完整)

1.5的5421BCD码是0101 这个是8421码的。

2.逻辑表达式中,异或的符号是⊕,同或的符号是⊙。

3.逻辑函数常用的表示方法有真值表、逻辑函数式、逻辑图和卡诺图。

4.用代数法化简逻辑函数需要一定的经验和技巧,不容易确定化简结果是否是最简。

5.用卡诺图化简逻辑函数,化简结果一般是最简与-或式。

一.选择填空题(以下每小题后均给出了几个可供选择的答案,请选择其中一个最合适的答案填入空格中)

1.实体(ENTITY)描述一个设计单元的 C D 的信息。

(a)行为、元件及连接关系(b)元件、子程序、公用数据类型(c)名称和端口的引脚等(d)可编译的设计单元

2.结构体(ARCHITECTURE)用于描述设计单元的 A D 。

(a)行为、元件及连接关系(b)元件、子程序、公用数据类型(c)名称和端口的引脚等(d)可编译的设计单元

3.在VHDL语言中,ARCHITECTURE中的语句都是 B 执行的语句。

(a)顺序(b)并行(c)即可顺序也可并行(d)无法确定4.在VHDL程序设计中,下面4个部分, C 不是可编译的源设计单元。

(a)ARCHITECTURE (b)ENTITY (c)PROCESS (d)PACKAGE

5.在VHDL程序中,以下4个部分,BC 可以有顺序执行语句。

(a)结构体(ARCHITECTURE)(b)进程(PROCESS)中的关键词BEGIN前(c)进程(PROCESS)中的关键词BEGIN后(d)程序包(PACKAGE)

6.结构体中的变量应在VHDL程序中 D 部分给予说明。

(a)结构体对应的实体的端口表中(b)结构体中关键词BEGIN 前(c)结构体中关键词BEGIN后(d)程序包(PACKAGE)7.VHDL中的各种逻辑运算中,运算符 D 的优先级别最高。(a)AND (b)OR (c)XOR (d)NOT

8.在VHDL语言中,不同类型的数据是 D 的。

(a)可以进行运算和直接代入(b)不能进行运算和直接代入(c)不能进行运算但可以直接代入(d)可以进行运算但不能直接代入

9.在VHDL语言中,信号赋值语句使用的代入符是 C 。

(a)= (b):= (c)<= (d)==

10.在VHDL语言中,变量的赋值符是 B 。

(a)= (b):= (c)<= (d)==

二、填空题(请在空格中填上合适的词语,将题中的论述补充完整)

1.两种复合数据类型是记录和集合。

2.进程启动、触发的条件是敏感信号发生变化。

3.进程的语句是顺序执行的。

4.要使用VHDL的程序包时,要用use 语句说明。

5.能与逻辑电路图中的器件一一对应的VHDL描述方式是结构化描述。

1.简述VHDL语言的主要优点。

答:VHDL语言的主要优点是:(1)覆盖面广,描述能力强,是一个多层次的硬件描述语言;(2)可读性好,既能够被计算机接受,也容易被人理解;(3)生命期长,它的硬件描述与工艺技术无关,不会因工艺变化而过时;(4)支持大规模设计的分解和已有设计再利用,有利于由多人或多项目组来共同完成一个大规模设计;(5)已成为IEEE 承认的一个工业标准,成为一种通用的硬件描述语言。

2.判断如下VHDL的操作是否正确,如不正确,请改正。字符a和b 的数据类型是BIT,c是INTEGER,执行c<=a+b。

答:操作不正确,应把a和b的数据类型改为INTEGER。

3.一个VHDL模块是否必须有一个实体和一个结构体?是否可以有多个实体和结构体?简述它们的作用。

答:一个VHDL模块必须有一个实体,可以有一个或多个结构体。实体描述一个设计单元的外部接口以及连接信号的类型和方向;结构体描述设计单元内部的行为,元件及连接关系,结构体定义出了实体的功能。

一.选择填空题(以下每小题后均给出了几个可供选择的答案,请选

择其中一个最合适的答案填入空格中)

1.标准TTL门开门电平U on之值为D。

(a)0.3V (b)0.7V (c)1.4V (d)2V

2.TTL与非门输出高电平的参数规范值是 C 。

(a)U oh≥1.4V (b)U oh≥2.4V (c)U oh≥3.3V (d)U oh=3.6V 3.TTL与非门输出低电平的参数规范值是 C 。

(a)U ol≤0.3V(b)U ol≥0.3V (c)U ol≤0.4V (d)U ol=0.8V 4.TTL与非门阈值电压U T的典型值是 B 。

(a)0.4V (b)1.4V (c)2V (d)2.4V

5.TTL与非门输入短路电流I IS的参数规范值是C。

(a)20μA (b)40μA (c)1.6mA (d)16mA

6.TTL与非门高电平输入电流I IH的参数规范值是 B 。

(a)20μA (b)40μA (c)1.6mA (d)16mA

7.TTL与非门低电平输出电流I OL的参数规范值是 D 。

(a)20μA (b)40μA (c)1.6mA (d)16mA

8.TTL与非门高电平输出电流I OH的参数规范值是B 。

(a)200μA (b)400μA (c)800μA (d)1000μA

9.某集成电路封装内集成有4个与非门,它们输出全为高电平时,测得5V电源端的电流为8mA,输出全为0时,测得5V电源端的电流为16mA,该TTL与非门的功耗为 C mW。

(a)30 (b)20 (c)15 (d)10

10.TTL电路中,B 能实现“线与”逻辑。

(a)异或门(b)OC门(c)TS门(d)与或非门

二、填空题(请在空格中填上合适的词语,将题中的论述补充完整)

1.二极管最重要的特性是单向导电。

2.逻辑电路中,电平接近于零时称为低电平,电平接近V cc时称为高电平。

3.数字电路中,三极管工作于开关状态。

4.三极管进入饱和后,若继续增加I B,集电极电流I C减小。

5.在三极管c、b极间并接肖特基二极管,可提高三极管开关速度。

试分析TTL非门输入端接法如下时,相当于接什么电平?

(1)(a)接地;(b)接低于0.8V的电压;(c)接另一TTL电路的输出低平(0.3V)。

(2)(a)悬空;(b)接高于2V的电压;(c)接另一TTL电路的输出高电平(3.6V)。

解:((1)(a)、(b)、(c)中的输入均小于TTL门的关门电平U off (UI ILmax=0.8V),因此,相当于接低电平。

(2)(a)输入端悬空,相当于入端对地接无穷大电阻,它远大于开门电阻R ON,TTL门输入悬空,相当于接高电平;(b)、(c)中的输入电压大于或等于TTL门的开门电平U on(U IHmin=2V),因此,相当于接高电平。

设计一个发光二极管(LED)驱动电路,设LED的参数为U F=2.2V,I D=10mA;若V cc=5V,且当LED发亮时,电路的输出为低电平,选择集成门电路的型号,并画出电路图。

解:根据题意,可画电路图

(1)决定限流电阻R之值(取U OL=0.4V)

R=

D OL

F CC

I U

U

V-

-=

104.0

2.2

5-

-×103Ω=240Ω

(2)选用门电路的型号:由于电路输出为低电平时LED发光,要求所选门电路的I OLmax≥I D=10mA,可选74系列TTL门7404非门。一.选择填空题(以下每小题后均给出了几个可供选择的答案,请选择其中一个最合适的答案填入空格中)

1.10-4线优先编码器允许同时输入 D 路编码信号。

(a)1 (b)9 (c)10 (d)多

2.74LS138有 B 个译码输入端和 C 个译码输出端。

(a)1 (b)3 (c)8 (d)无法确定

3.利用2个74LS138和1个非门,可以扩展得到1个 C 线译码器。(a)2-4 (b)3-8 (c)4-16 (d)无法确定

4.用原码输出的译码器实现多输出逻辑函数,需要增加若干个

B 。

(a)非门(b)与非门(c)或门(d)或非门

5.七段译码器74LS138的输入是4位 D ,输出是 C 。

(a)二进制码(b)七段码(c)七段反码(d)BCD码

6.多路数据选择器MUX的输入信号可以是 D 。

(a)数字信号(b)模拟信号(c)数模混合信号(d)数字和模拟信号

7.与4位串行进位加法器比较,使用超前进位全加器的目的是 C 。(a)完成自动加法进位(b)完成4位加法(c)提高运算速度(d)完成4位串行加法

8.功能块电路内部一般是由 C 组成。

(a)单片MSI (b)多片MSI (c)各种门电路(d)无法确定9.某逻辑电路由一个功能块电路组成,整体电路的逻辑功能与这个功能块原来的逻辑功能 D 。

(a)一定相同(b)一定不同(c)不一定相同(d)无法确定

二、填空题(请在空格中填上合适的词语,将题中的论述补充完整)

1.所谓组合逻辑电路是指:在任何时刻,逻辑电路的输出状态只取决于电路各输入信号的组合,而与电路原有状态无关。

2.在分析门级组合电路时,一般需要先从卡诺图写出逻辑函数式。

3.在设计门级组合电路时,一般需要根据设计要求列出布尔表达式,再写出逻辑函数式。

4.要扩展得到1个6-64线译码器,需要9 个74LS138。

5.基本译码电路除了完成译码功能外,还能实现逻辑函数发生和

DMUX逻辑函数发生和DMUX功能。

试用译码器设计1位二进制数全减运算电路。

解:本题的目的是练习用译码器实现多输出逻辑电路。

(1)规定逻辑变量

设输入逻辑变量A i为被减数、B i为减数、C i-1为低位的借位,输出逻辑函数S i为差、C i为本级的借位输出信号。根据设计要求写出逻辑真值表。

(2)设计电路

由于本设计有Ai、B i和C i-1共3个输入量,故选用3-8线译码器实现电器最为简便。首先将输出逻辑表达式写为最小项和的形式

S i=∑m(1,2,4,7)

C i=∑m(1,2,3,7)

选用3-8线译码器74LS138和双4输入与非门74LS20实现的逻辑电路设计见图,将Ai、B i、C i-1接译码器的输入A2A1A0,74LS138的输出为低电平有效,故在输出端接与非门。

试设计一个码制转换电路。K为控制信号,K=0时,输入DCBA为8421码,输出L3L2L1L0为循环码。K=1时,输入为循环码,输出S3S2S1S0为8421码。

解:(1)规定逻辑变量和列代码转换表

当控制量K=0时,输入DCBA为8421码,输出L3L2L1L0为循环码;

当控制量K=1时,输入DCBA为循环码,输出S3S2S1S0为8421码。列出代码转换表。

(2)电路设计

分析上述逻辑关系,电路可用2个功能电路实现。其一为最小项产生电路,用4-16线译码器74154实现较为方便;另一为控制、输出电路,在控制信号K作用下,输出不同的码制,选用SSI器件实现。将输入输出逻辑式用译码器的逻辑函数形式写出。

表和公式略

简述用译码器或多路选择器实现组合逻辑电路的不同之处。

答:不同器件都各具特点,如译码电路除具有译码功能外,还可实现多输出逻辑函数的电路功能以及作为多路分配电路使用;多路选择器可实现单输出逻辑函数功能电路,还可将并行数据转换为串行输出。

根据什么判断简单电路中的险象存在?

答:方法如下:

(1)代数法。代数法是通过电路的逻辑表达式来检查电路中是否存在险象的方法。对于n个变量的逻辑表达式L=f(X1,X2…,X n),当任选其中n-1个输入变量之值为0或1,使表达式仅为某一单变量X的函数,并可写为L=XX或L=X+X的形式时,可判定险象存在。(2)卡诺图法。在卡诺图中,某两项所对应的包围圈存在相邻而不相交的关系,则可判断逻辑电路中存在险象。

一.选择填空题(以下每小题后均给出了几个可供选择的答案,请选择其中一个最合适的答案填入空格中)

1.两个与非门构成的基本RS触发器,当Q=1、Q=0时,两个输入信号R=1和S=1。触发器的输出Q会 B 。

(a)变为0 (b)保持1不变(c)保持0不变(d)无法确定2.同步RS触发器的两个输入信号RS为00,要使它的输出从0变成1,它的RS应为 B 。

(a)00 (b)01 (c)10 (d)11

3.基本RS触发器的输入直接控制其输出状态,所以它不能被称

为 C 触发器。

(a)直接置1、清0 (b)直接置位、复位(c)同步(d)异步

4.如果把D触发器的输出Q反馈连接到输入D,则输出Q的脉冲波形的频率为CP脉冲频率f的D。

(a)二倍频(b)不变(c)四分频(d)二分频

5.某触发器的2个输入X1、X2和输出Q的波形如图所示,试判断它是触发器。

(a)基本RS (b)JK (c)RS (d)D

6.要使JK触发器的输出Q从1就成0,它的输入信号JK就为 B 。(a)00 (b)01 (c)10 (d)无法确定

7.如果把触发器的JK输入端接到一起,该触发器就转换成 B 触

发器。

(a)D (b)T (c)RS (d)T′

8.如果触发器的次态仅取决于CP A 时输入信号的状态,就可以克服空翻。

(a)上升(下降)沿(b)高电平(c)低电平(d)无法确定

二、填空题(请在空格中填上合适的词语,将题中的论述补充完整)

1.JK触发器的特性方程为Qn+1=JQn+KQn。

2.同步触发器在一个CP脉冲高电平期间发生多次翻转,称为空翻。

3.在时钟脉冲CP=1期间,主从JK触发器中主触发器状态只能变化一次的现象被称为一次翻转现象(一次变化)。

4.维持阻塞D触发器的状态由CP上升沿D的状态决定,所以它是上升沿触发器。

5.教材中介绍了两种可防止空翻的触发器是主从RS触发器和边沿D触发器。

6.利用串行输入、并行输出的移位寄存器可以方便的实现串并变换。

什么是触发器的不定状态,如何避免不定状态的出现?

答:基本RS触发器中,当R=0、S=0时,触发器两个输出都为1,不再是互补关系,且在输入低电平信号同时变为高电平后,触发器的状态不能确定。此时称为触发器的不定状态。在正常工作时,不允许

输入端R和S同时为0,即要求输入信号遵守R+S=1的约束条件。可通过控制R、S输入信号或选用其他无约束条件的触发器。

什么是触发器的空翻现象,如何避免空翻?

答:同步触发器在CP=1期间,输入信号都能影响触发器的输出状态。这种触发方式(称电平触发方式)中,在一个CP脉冲期间触发器发生两次或两次以上翻转的现象称为空翻。在数字电路中,为保证电路稳定可靠地工作,要求一个CP脉冲期间,触发器只能动作一次。为防止空翻,须对CP持续时间有严格规定或对电路结构进行改进,如采用主从结构触发器或边沿D触发器等可克服空翻。

一.选择填空题(以下每小题后均给出了几个可供选择的答案,请选择其中一个最合适的答案填入空格中)

1.欲增加集成单稳电路的延迟时间t w,可以 C 。

(a)提高V cc (b)降低V cc(c)增大C X(d)减小R X

2.为了检测周期性复现的脉冲列中是否丢失脉冲或停止输出脉冲,可用 A 电路。

(a)可重触发单稳(b)单触发单稳(c)施密特触发器(d)555定时器

3.顺序加工控制系统的控制时序可用 B 电路实现。

(a)施密特触发器(b)单稳态触发器(c)多谐振荡器(d)集成定时器

4.在环形振荡器中,为了降低振荡频率,通常在环形通道中串入

C 。

(a)更多非门(b)电感L (c)RC环节(d)大容量电容5.门电路与RC元件构成的多谐振荡器电路中,随着电容C充电、放电,受控门的输入电压u1随之上升、下降,当u1达到 B 时,电路状态迅速跃变。

(a)U off(b)U T(c)U on (d)U OH

6.在对频率稳定性要求高的场合,普遍采用 D 振荡器。

(a)双门RC (b)三门RC环形(c)555构成(d)石英晶体7.555集成定时器构成的施密特触发器,当电源电压为15V时,其回差电压△U T值为 C 。

(a)15V (b)10V (c)5V (d)2.5V

8.555集成定时器构成的单稳态触发器,其暂态时间t w= C 。(a)0.7RC (b)RC (c)1.1RC (d)1.4RC

9.改变 D 之值不会影响555构成单稳态触发器的定时时间t w。(a)电阻R (b)电容C (c)C-U端电位(d)电源V cc

10.改变 A 值,不会改变555构成的多谐振荡器电路的振荡频率。

(a)电源V cc(b)电阻R1(c)电阻R2(d)GND

二、填空题(请在空格中填上合适的词语,将题中的论述补充完整)

1.根据制作工艺的不同,集成施密特触发器可分为TTL 和CMOS 两大类。

2.要消除脉冲顶部和底部的干扰信号,可用 施密特触发器 电路。

3. 脉冲整形 电路能把幅度满足要求的不规则波形变换成前后沿陡峭的矩形波。

4.TTL 与非门构成的微分单稳电路中,若出现t w1>t w 时,可采用 微分 电路解决。

5.TTL 集成单稳态电路中,定时元件R X 取值范围为 ,C X 取值范围为 ,暂稳时间t w 的范围为 0.7R X C X 。

6.CMOS 精密单稳中,定时元件R X 、C X 可在 范围选择,定时时间t w 的范围为 。

7.门电路和定时元件RC 构成的振荡电路中,随着电容C 的充电、放电,是路不停地在两个 态之间转换,产生 波。

8.RC 振荡器的频率稳定性仅为 10-5 ,而石英晶体振荡器的频率稳定性可达 10-6~10-8 。

试用集成定时器555设计一个100H Z ,占空比为60%的方波发生器。 解:T 0=t 1+t 2=0.7充τ+0.7放τ=0.7(R 1+2R 2)C=

01f =0.01s ① 占空比q=01T t =C R R C R R )2(7.0)(7.02121++=2

1212R R R R ++=0.6 ② 由①得70(R 1+2R 2)C=ls ③ 由②得R 2=2 R 1 ④ 取C=1μF ,再将④代入③得R 1=2.86k Ω, R 2=5.72k Ω。

一.选择填空题(以下每小题后均给出了几个可供选择的答案,请选择其中一个最合适的答案填入空格中)

1.从电路结构上看,时序电路必须含有 B 。

(a)门电路(b)存储电路(c)RC电路(d)译码电路

2.下面描述同一逻辑电路内、外输入输出逻辑关系的方程中, C 表明该电路为时序逻辑电路。

(a)Z(t n)=F[X(t n),Q(t n)] (b)W(t n)=H[X(t n),Q(t n)]

(c)Q(t n+1)=G[W(t n),Q(t n)] (d)Y(t n)=G[X(t n),Q(t n)]

3.时序电路的逻辑功能不能单由 A 来描述。

(a)时钟方程(b)状态方程(c)状态转换表(d)状态转换图

4.每经十个CP脉冲状态循环一次的计数电路,知其有效状态中的最大数为1100,则欠妥的描述是 D 。

(a)模10计数器(b)计数容量为10 (c)十进制计数器(d)十二进制计数器

5.欲把36kH z的脉冲信号变为1H z的脉冲信号,若采用十进制集成计数器,则各级的分频系数为 B 。

(a)(3,6,10,10,10)(b)(4,9,10,10,10)

(c)(3,12,10,10,10)(d)(6,3,10,10,10)

6.用集成计数器设计n进制计数器时,不宜采用 D 方法。(a)置最小数(b)反馈复位(c)反馈预置(d)时钟禁止

7.欲把一脉冲信号延迟8个T CP后输出,宜采用 C 电路。

(a)计数器(b)分频器(c)移位寄存器(d)脉冲发生器8.欲把并行数据转换成串行数据,可用 C 。

(a)计数器(b)分频器(c)移位寄存器(d)脉冲发生器

二、填空题(请在空格中填上合适的词语,将题中的论述补充完整)

1.全同步集成计数器是指除构成计数器的所有触发器公司同一CP源外,其他任何操作都必须借助于的计数器。

2.用集成计数器实现任意进制时,采用N进制控制计数循环的方法实现的电路工作较为可靠。

3.用异步操作控制计数循环的方法实现任意进制计数电路时存在瞬态。

4.X进制计数电路中,若所有Q同时输出,则为功能;若仅由最高位输出,则为功能。

5. 的计数器称为可逆计数器。

6.首尾相连的n位移位寄存器被称为寄存器,其工作循环的独立状态数为。

7.n位移位寄存器最高位Q n-1取非后再反馈到串行数据输入D SR,被称为寄存器,其工作循环的独立状态数为。

8.顺序脉冲分配器分为型和型。

现有异步十进制加法集成计数器74290,要求

(1)试用74290设计5421码十进制计数器;

(2)列出计数器态序表;

(3)画出各Q的波形图。

解:本题的目的是让学习者了解74290构成十进制计数器的另一种方法,了解5421BCD码,认识其计数态序表和工作波形图。

(1)只要将外CP送入74290的CP1,而将Q3接到CP0,即可构成5421 BCD计数器,电路逻辑图如图。此时,其输出高低位顺序与前不同,为Q0Q3Q2Q1,如外端子标注Q3Q2Q1Q0。

(2)计数态序表

(3)5421 BCD计数器的波形如图。

试用同步十进制集成计数器74160设计同步六十进制计数器。

解:本题的目的是为了进一步练习用M进制集成计数器增模设计N >M的任意进制计数器时,级间连接(控制)方式。

(1)N=60=6×10=N2×N;

(2)LD2=Q6Q4CO1,D6D5D4=S0=000,(N1=10,自然完成十进制,无需控制)。

(3)画逻辑图

一.选择填空题(以下每小题后均给出了几个可供选择的答案,请选择其中一个最合适的答案填入空格中)

1.半导体存储器可分为 a 和 c 两大类。

(a)RAM (b)DRAM (c)ROM (d)EPROM

2.随机存储器可分为 a 和 c 两大类。

(a)SRAM (b)ROM (c)DRAM (d)EPROM

3.小容量RAM内部存储矩阵的字数与外部地址线数n的关系一般为

a 。

(a)2n(b)22n(c)>22n(d)<2n

4.采用双地址译码且分时送入行和列地址信号DRAM内部存储矩阵的字数与外部地址线数n的关系一般为。

(a)2n(b)22n(c)>22n(d)<2n

5.用1M×4的DRAM芯片通过 D 扩展可以获得4M×8的存储器。

(a)位(b)字(c)复合(d)位或字

6.27系列EPROM存储的数据是 c 可擦除的。

(a)不(b)电(c)紫外线(d)融断器

7.采用浮栅技术的EPROM中存储的数据是 b 可擦除的。

(a)不(b)紫外线(c)电(d)高压电

8.电可擦除的PROM器件是 b 。

(a)EPROM (b)E2PROM (c)PLA (d)PAL

9.ROM可以用来存储程序、表格和大量固定数据,但它不可以用来实现 B 。

(a)代码转换(b)逻辑函数(c)乘法运算(d)计数器10.若停电数分钟后恢复供电, C 中的信息能够保持不变。(a)RAM (b)COMP (c)ROM (d)MUX

二、填空题(请在空格中填上合适的词语,将题中的论述补充完整)

1.PLA、PAL和GAL这一类半定制芯片称为可编程逻辑器件。

2.PROM实质上是一种可编程逻辑器件,因此可用阵列图来描述它。它的与阵列(地址译码器)是的,它的或阵列是的。

3.PAL是一种阵列型的低密度可编程逻辑器件,它的与阵列

是可编程的,它的或阵列是固定的。

4.GAL与PAL的最大区别是:它的每一个输出端上都有一个宏单元。

5.GAL采用电可擦除CMOS 技术,因此无需紫外线照射即可随时进行修改逻辑。

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

数字电子技术期末考试试卷

09级2011年数字电子技术考试试卷 开课学院:通信工程学院 一、填空题:(每空1分,共14分) 1、数制转换:,。 2、若A/D转换器(包括取样—保持电路)输入模拟电压信号的最高变化频率为10kHZ,则取样频率的下限为()。 3、正数的补码和它的()相同,负数的补码可通过将( )得到。 4、试列出3种输出端可以并联使用的门电路:()、()、()。 5、()和()是构成各种复杂数字系统的基本逻辑单元。 6、()和()是衡量A/D转换器和D/A转换器性能优劣的主要标志。 二、化简题:(每小题6分,共12分) (1)、用逻辑函数公式某法证明:

B’CD’+BC’D+ACD+A’BC’D’+A’B’CD+BC’D’+BCD=B’C+BC’+CD。 (2)、试用卡诺图法化简下式,要求画出卡诺图,并勾圈化简:。 三、由与非门构成的某表决电路如图1所示,其中ABCD表示4个人,L=1时表示决议通过。(共10分) (1)试分析电路,说明决议通过的情况有几种。 (2)分析ABCD四个人中,谁的权利最大。

图1 四、某逻辑函数的真值表如表1.2所示,试将74HC153扩展为8选1数据选择器,再实现该逻辑函数。74HC153的功能与逻辑符号分别见表1.1和图2。(共15分)

五、已知74LS138的逻辑符号见图3,逻辑功能见表2.试画出用两片74LS138组成4线-16线译码器的接线图,并说明设计原理。(共10分) 图3 表2、74LS138功能表 使能端选择输入端输出端 S1 A2 A1 A0 × 1 0 ×××× ××× 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 0 1 0 0 0 0 0 0 1 0 1 0 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1

数字电路经典笔试题目汇总

数字电路笔试汇总 2、什么是同步逻辑和异步逻辑?(汉王笔试) 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 電路設計可分類為同步電路和非同步電路設計。同步電路利用時鐘脈衝使其子系統同步運作,而非同 步電路不使用時鐘脈衝做同步,其子系統是使用特殊的“開始”和“完成”信號使之同步。由於非同步電 路具有下列優點--無時鐘歪斜問題、低電源消耗、平均效能而非最差效能、模組性、可組合和可複用性-- 因此近年來對非同步電路研究增加快速,論文發表數以倍增,而Intel Pentium 4處理器設計,也開始採用 非同步電路設計。 异步电路主要是组合逻辑电路,用于产生地址译码器、FIFO或RAM的读写控制信号脉冲,其逻 辑输出与任何时钟信号都没有关系,译码输出产生的毛刺通常是可以监控的。同步电路是由时序电路(寄存 器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些时序电路 共享同一个时钟CLK,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的。 3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试) 线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现(漏极或者集电极开路),由于不用oc门可能使灌电流过大,而烧坏逻辑门,同时在输出端口应加一个上拉电阻。(线或则是下拉电阻) 4、什么是Setup 和Holdup时间?(汉王笔试) 解释setup和hold time violation,画图说明,并说明解决办法。(威盛VIA 2003.11.06 上海笔试试题) Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信 号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下 一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不 变的时间。如果hold time不够,数据同样不能被打入触发器。 建立时间(Setup Time)和保持时间(Hold time)。建立时间是指在时钟边沿前,数据信号需要保持不 变的时间。保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。如果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。) 1、逻辑电路可以分为 组合逻辑电路 电路和 时序逻辑电路 电路。 2、数字电路的基本单元电路是 门电路 和 触发器 。 3、数字电路的分析工具是 逻辑代数(布尔代数) 。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (10010 )8421BCD 6、数字电路中的最基本的逻辑运算有 与 、 或 、 非 。 7、逻辑真值表是表示数字电路 输入和输出 之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的 或门 。 9、表示逻辑函数的4种方法是 真值表 、 表达式、 卡诺图 、 逻辑电路图 。 其中形式惟一的是 真值表 。 10、对于变量的一组取值,全体最小项之和为 1 。 11、对于任意一个最小项,只有一组变量的取值使其值为 1 ,而在变量取其他各组值时 这个最小项的取值都是 0 。 12、对于变量的任一组取值,任意两个最小项之积为0。 13、与最小项ABC 相邻的最小项有C AB 、C B A 、BC A 。 14、组合逻辑电路的特点是 输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件) 。 15、按电路的功能分,触发器可以分为 RS 、 JK 、 D 、 T 、 T ’。 16、时序电路可分为 同步时序逻辑电路 和 异步时序逻辑电路 两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含 驱动方程 、 输出方程 、 状态方程 )、 状态图 、 状态表 、 时序图 。 18、(251)10 =()2 =(FB )16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为 RAM 和 ROM 。 21、RAM 可分为 动态RAM 和 静态RAM 。 22、存储器以 字 为单位组织内部结构,1个字含有 若干 个存储单元。1个字中所含的位数(即存储单元的个数)称为字长。字数与字长的乘积表示存储器的 容量 。字数决定 存储器的地址线的颗数 ,字长决定 存储器的数据线的颗数 。

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

数字电路复习题及参考答案

11级数字电路复习题及参考答案 一、单选题 1. 同或逻辑对应的逻辑图是( )。 A. ≥1 ≥1 & 答案:A 2. 在下列逻辑电路中,不是组合逻辑电路的是( ) 。 A. 译码器 B. 编码器 C. 全加器 D. 寄存器 答案:D 3. 用触发器设计一个同步十七进制计数器所需要的触发器数目是( ) 。 A. 2 B. 3 C. 4 D. 5 答案:D 4. 在下列各图中,或非逻辑对应的逻辑图是( ) 。 A.B. C. D. 答案:B 5. 在何种输入情况下,“或非”运算的结果是逻辑“1” 。 A. 全部输入是“0” B. 任意输入是“1” C. 仅一输入是“1” D. 全部输入是“1” 答案:A 6. 一个班级中有四个班委委员,如果要开班委会,必须这四个班委委员全部同意才能召开,其逻辑关系

属于()逻辑。 a.与; b. 或; c.非; d.与非 答案:a 7. 在一个四变量逻辑函数中,( )为最小项。 a.AACD ; b.ABC ; c.ABCD ; d.()AB C D + 答案:c 8. 一个4路数据选择器,其地址输入(选择控制输入)端有( )个。 A. 2个 B. 3个 C. 4个 D. 5个 答案:A 9. 由与非门构成的基本RS 触发器的输入端为R 、S ,则其约束条件为( )。 A. RS=0 B. R+S=1 C. RS=1 D. R+S=0 答案:A 10. 在下列各图中,异或逻辑对应的逻辑图是( )。 答案:D 11. JK 触发器在CP 脉冲作用下,欲使 n+1n Q =Q , 则对输入信号描述不正确的是( )。 A.J =K =1 B.J =Q ,K =Q C.J =Q , K =Q D.J =Q ,K =1 答案:B 12. 当异步置数端I R S D D ==时,输出状态是在CP 由1变0时刻发生变化,且与CP=1期间输入状态变化无关,只取决于CP 由1变0前瞬间输入状态而定的触发器是( )。 A. 基本RS 触发器 B. D 锁存器 C. 同步JK 触发器 D. 负边沿JK 触发器 答案:D 13. 时序逻辑电路中一定包含( )。

数字电子技术考试题及答案

太原科技大学 数字电子技术 课程试卷 B 卷 一、单选题(20分,每小题1分)请将本题答案全部写在下表中 1、8421BCD 码10000001转化为十六进制数是( )。 A 、15 B 、51 C 、81 D 、18 2、n 位二进制数的反码或其原码,表示的十进制数是( )。 A 、21n - B 、2n C 、1 2n - D 、2n 3、TTL 与非门多余输入端的处理是( )。 A 、接低电平 B 、任意 C 、 通过 100W 电阻接地 D 、通过 100k W 电阻接地 4、OD 非门在输入为低电平(输出端悬空)情况下,输出为( )状态。 A 、高电平 B 、低电平 C 、开路 D 、不确定 5、与()Y A B A =e e 相等的逻辑函数为( )。 A 、Y B = B 、Y A = C 、Y A B =? D 、Y A B =e 6、下列(,,)F A B C 函数的真值表中1Y =最少的为( )。 A 、Y C = B 、Y AB C = C 、Y AB C =+ D 、Y BC C =+ 7、( )是组合逻辑电路的特点。 A 、输出仅取决于该时刻的输入 B 、后级门的输出连接前级门的输入 C 、具有存储功能 D 、由触发器构成 8、半加器的两个加数为A 和B ,( )是进位输出的表达式。 A 、AB B 、A B + C 、AB D 、AB 9、欲使JK 触发器1 n Q Q +=,J 和K 取值正确的是( )。 A 、,J Q K Q == B 、J K Q == C 、0J K == D 、,1J Q K == 10、字数为128的ROM 存储器存储容量为1204位,字长为( )位,地址线为( )根。 A 、8,8 B 、8,7 C 、4,7 D 、4,8 11、一个四位二进制减法计数器初始状态为0110,经过101个脉冲有效沿触发后,它的输出是 ( )。 A 、0000 B 、0001 C 、0011 D 、0010 12、要用1K×8的RAM 扩展成8K×16的RAM ,需选用( )译码器。 A 、 3线-8线 B 、2线-4线 C 、1线-2线 D 、4线-16线

数字电子技术基础期末考试试卷及答案1[1]

填空题 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方 程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为 12 条、数据线为 8 条。二、选择题1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。 2.下列几种TTL电路中, 输出端可实现线与功能的电路是( D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为( C)。

A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为( D)。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有( C)个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 2、用卡诺图法化简为最简或与式 Y= + C +A D,约束条件:A C + A CD+AB=0 四、分析下列电路。(每题6分,共12分) 1、写出如图1所示电路的真值表及最简逻辑表达式。

数字电路试题及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = (11110.01 ) 2 = (1E.4 ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为: 高电平 、 低电平 和 高阻态 。 4 . 主从型JK 触发器的特性方程 = 。 5 . 用4个触发器可以存储 4 位二进制数。 6 . 存储容量为4K×8位的RAM 存储器,其地址线为 条、数据线为 条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:( C )图。 2.下列几种TTL 电路中,输出端可实现线与功能的电路是(B )。 A 、或非门 B 、与非门 C 、异或门 D 、OC 门 3.对CMOS 与非门电路,其多余输入端正确的处理方法是(D )。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) B、 D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C)。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为(C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C)。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为(D )。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有(C )个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

数字电子技术期末复习题库及答案完整版

数字电子技术期末复习 题库及答案 HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】

第1单元能力训练检测题 一、填空题 1、由二值变量所构成的因果关系称为逻辑关系。能够反映和处理逻辑 关系的数学工具称为逻辑代数。 2、在正逻辑的约定下,“1”表示高电平,“0”表示低电平。 3、数字电路中,输入信号和输出信号之间的关系是逻辑关系,所以数字电路也称为逻辑电路。在逻辑关系中,最基本的关系是与逻辑、或逻辑和 非逻辑。 4、用来表示各种计数制数码个数的数称为基数,同一数码在不同数位所代表的 权不同。十进制计数各位的基数是10,位权是10的幂。 5、8421 BCD码和2421码是有权码;余3码和格雷码是无权码。 6、进位计数制是表示数值大小的各种方法的统称。一般都是按照进位方式来实现计数的,简称为数制。任意进制数转换为十进制数时,均采用按位权展开求和的方法。 7、十进制整数转换成二进制时采用除2取余法;十进制小数转换成二进制时采用 乘2取整法。 8、十进制数转换为八进制和十六进制时,应先转换成二进制,然后再根据转换 的二进数,按照三个数码一组转换成八进制;按四个数码一组转换成十六进制。 9、逻辑代数的基本定律有交换律、结合律、分配律、反演律和 非非律。 10、最简与或表达式是指在表达式中与项中的变量最少,且或项也最少。 13、卡诺图是将代表最小项的小方格按相邻原则排列而构成的方块图。卡诺图的画图规则:任意两个几何位置相邻的最小项之间,只允许一位变量的取值不同。 14、在化简的过程中,约束项可以根据需要看作1或0。 二、判断正误题 1、奇偶校验码是最基本的检错码,用来使用PCM方法传送讯号时避免出错。(对) 2、异或函数与同或函数在逻辑上互为反函数。 (对) 3、8421BCD码、2421BCD码和余3码都属于有权码。 (错) 4、二进制计数中各位的基是2,不同数位的权是2的幂。 (对)

数字电路复习题及答案

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。)1、逻辑电路可以分为组合逻辑电路电路和时序逻辑电路电路。 2、数字电路的基本单元电路是门电路和触发器。 3、数字电路的分析工具是逻辑代数(布尔代数)。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (0001000000010010 )8421BCD 6、数字电路中的最基本的逻辑运算有与、或、非。 7、逻辑真值表是表示数字电路输入和输出之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的或门。 9、表示逻辑函数的4种方法是真值表、表达式、卡诺图、逻辑电路图。 其中形式惟一的是真值表。 10、对于变量的一组取值,全体最小项之和为

1。 11、对于任意一个最小项,只有一组变量的取值 使其值为1,而在变量取其他各组值时 这个最小项的取值都是0。 12、对于变量的任一组取值,任意两个最小项之 积为0。 13、与最小项ABC相邻的最小项有C A。 AB、C B A、BC 14、组合逻辑电路的特点是输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件)。 15、按电路的功能分,触发器可以分为RS、JK、 D、T、 T’。 16、时序电路可分为同步时序逻辑电路和异步时序逻辑电路两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含驱动方程、输出方程、 状态方程)、状态图、状态表、时序图。 18、(251)10 =(11111011)2 =(FB)16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为

数字电子技术期末考试题及答案(经典)

xxx~xxx学年第x学期 《数字电子技术》期末复习题 第一部分题目 一、判断题(每题2分,共30分。描述正确的在题号前的括号中打“√”,错误的打“×”)【】1、二进制有0 ~ 9十个数码,进位关系为逢十进一。 【】2、(325)8 >(225)10 【】3、十进制数整数转换为二进制数的方法是采用“除2取余法”。 【】4、在二进制与十六进制的转换中,有下列关系:(100111010001)2=(9D1)16 【】5、8421 BCD码是唯一能表示十进制数的编码。 【】6、十进制数85的8421 BCD码是101101。 【】7、格雷码为无权码,8421 BCD为有权码。 【】8、数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。 【】9、逻辑变量的取值,1比0大。 【】10、在逻辑代数中,逻辑变量和函数均只有0和1两个取值,且不表示数量的大小。【】11、逻辑运算1+1=1 【】12、逻辑运算A+1+0=A 【】13、因为逻辑表达式A+B+AB=A+B成立,所以AB=0成立。 【】14、在时间和幅度上均不连续的信号是数字信号,所以语音信号是数字信号。 【】15、逻辑函数的运算次序为:先算括号内,后算括号外;先求与,再求或,最后求非。【】16、AB A C BC AB A C ++=+ 【】17、逻辑函数表达式的化简结果是唯一的。 【】18、逻辑真值表、逻辑表达式、逻辑图均是逻辑关系的描述方法。 【】19、n个变量组成的最小项总数是2n个。 【】20、逻辑函数的化简方法主要有代数化简法和卡诺图化简法。 【】21、逻辑函数化简过程中的无关项一律按取值为0处理。 【】22、数字电路中晶体管工作在开关状态,即不是工作在饱和区,就是工作在截止区。【】23、TTL或非门的多余输入端可以接高电平。 【】24、某一门电路有三个输入端A、B、C,当输入A、B、C不全为“1”时,输出Y为“0”,输入A、B、C全为高电平“1”时,输出Y为“1”,此门电路是或门电路。【】25、将三输入与非门中的两个输入端都接高电平,就可以实现非门功能。 【】26、基本的逻辑关系有与、或、非三种,其实现单元电路分别为与非门和或非门两种。【】27、CMOS门电路的输入电流大于TTL门电路的输入电流。 【】28、组合逻辑电路的基本组成单元是门电路。 【】29、组合电路没有记忆功能。 【】30、组合电路是一种具有记忆功能的逻辑电路。

数字电路试题及答案

数字电路试题 一、单项选择题 1、以下代码中为无权码的为 ( ) A . 8421BCD 码 B . 5421BCD 码 C . 余三码 D .2421BCD 码 2、图示逻辑电路的逻辑式为 ( ) A .F=C B A ++ B .F= C B A ++ C .F=C B A D .F=ABC 3、下列关于异或运算的式子中,不正确的是 ( ) A .0A A =⊕ B . 1A A =⊕ C .A 0A =⊕ D .A 1A =⊕ 4、一个n 变量的逻辑函数应该有 个最小项 ( ) A .n B .n 2 C .n 2 D .2 n 5、若编码器中有50个编码对象,则要求输出二进制代码位数为 位。 ( ) A.5 B.6 C.10 D.50 6、在下列逻辑电路中,不是组合逻辑电路的是 。 ( ) A.译码器 B.编码器 C.全加器 D.寄存器 7、欲使JK 触发器按01 =+n Q 工作,可使JK 触发器的输入端 。 ( ) A.1==K J B.Q J =,Q K = C.Q J =,Q K = D.0=J ,1=K 8、同步时序电路和异步时序电路比较,其差异在于两者 。 ( ) A.没有触发器 B.是否有统一的时钟脉冲控制 C.没有稳定状态 D.输出只与内部状态有关 9、8位移位寄存器,串行输入时经 个脉冲后,8位数码全部移入寄存器中。 ( ) A.1 B.2 C.4 D.8 10、555定时器D R 端不用时,应当 。 ( ) A.接高电平 B.接低电平 C.通过F μ01.0的电容接地 D.通过小于Ω500的电阻接地 二、填空题 1、当传送十进制数5时,在8421奇校验码的校验位上值应为 。 2、(35.625)10=( )2=( )8=( )16 3、用反演律求函数D A D C ABC F ++=的反函数(不用化简)=F 。 4、消除竟争冒险的方法有 、 、 等。 5、触发器有 个稳态,存储8位二进制信息要 个触发器。 1 & A B C F 11

数字电路复习题及答案.

《数字电子技术基础》复习题 一.选择填空题(以下每小题后均给出了几个可供选择的答案,请选择其中一个最合适的答案填入空格中) 1.处理 b 的电子电路是数字电路。 (a)交流电压信号(b)时间和幅值上离散的信号 (c)时间和幅值上连续变化的信号(d)无法确定 2.用不同数制的数字来表示2004,位数最少的是 d 。 (a)二进制(b)八进制(c)十进制(d)十六进制 3.最常用的BCD码是 b 。 (a)5421码(b)8421码(c)余3码(d)循环码 4.格雷码的优点是 c 。 (a)代码短(b)记忆方便(c)两组相邻代码之间只有一位不同(d)同时具备以上三者 5.两个开关控制一盏灯,只有两个开关都闭合时灯才不亮,则该电路的逻辑关系是 a 。 (a)与非(b)或非(c)同或(d)异或 6.已知F=ABC+CD,选出下列可以肯定使F=0的取值 d (a)ABC=011 (b)BC=11 (c)CD=10 (d)BCD=111 7.2004个1连续异或的结果是 a 。 (a)0 (b)1 (c)不唯一(d)逻辑概念错误 二、填空题(请在空格中填上合适的词语,将题中的论述补充完整)

1.5的5421BCD码是0101 这个是8421码的。 2.逻辑表达式中,异或的符号是⊕,同或的符号是⊙。 3.逻辑函数常用的表示方法有真值表、逻辑函数式、逻辑图和卡诺图。 4.用代数法化简逻辑函数需要一定的经验和技巧,不容易确定化简结果是否是最简。 5.用卡诺图化简逻辑函数,化简结果一般是最简与-或式。 一.选择填空题(以下每小题后均给出了几个可供选择的答案,请选择其中一个最合适的答案填入空格中) 1.实体(ENTITY)描述一个设计单元的 C D 的信息。 (a)行为、元件及连接关系(b)元件、子程序、公用数据类型(c)名称和端口的引脚等(d)可编译的设计单元 2.结构体(ARCHITECTURE)用于描述设计单元的 A D 。 (a)行为、元件及连接关系(b)元件、子程序、公用数据类型(c)名称和端口的引脚等(d)可编译的设计单元 3.在VHDL语言中,ARCHITECTURE中的语句都是 B 执行的语句。 (a)顺序(b)并行(c)即可顺序也可并行(d)无法确定4.在VHDL程序设计中,下面4个部分, C 不是可编译的源设计单元。 (a)ARCHITECTURE (b)ENTITY (c)PROCESS (d)PACKAGE

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电路期末考试试卷及答案

2010-2011学年度第一学期 09级电子技术基础(数字部分)期末考试试卷 一、填空题(本大题共15小题,每空1分,总计30分) 1、 (127)10= ( )2= ( ) 8421BCD。 2、5个变量可构成个最小项,全体最小项之和为。 3、基本逻辑运算有、、 3种。 4、描述逻辑函数各个变量取值组合和函数值对应关系的表格叫。 5、3线—8线译码器74LS138处于译码状态时,当输入A2A1A0=011时,输出= 。 6、对于T触发器,当T= 时,触发器处于保持状态。 7、某计数器的输出波形如图1所示,该计数器是进制计数器。 CP Q 1 Q 2 Q (图1) 8、触发器有个稳定状态,用来寄存和。这种无外加触发信号时能维持原态不变的功能称功能。在外加触发信号作用下,触发器状态。 9、要完成二进制代码转换为十进制数,应选择的电路是:。 10、所谓计数器,是指能和输入脉冲个数的逻辑部件,它是利用触发器的功能来实现的。 11、对于JK触发器,若J=K,则可完成触发器的逻辑功能;若K= J,则可完成触发器的逻辑功能。 12、加法器是用来完成二进制数的加法运算的,它分为和。 13、用表示某些特定含义的代码就称为编码;而把的过程称为,它是编码的逆过程。 14、一个十进制加法计数器需要由个JK触发器组成。 15、3位二进制计数器累计脉冲个数为;4位二进制计数器累计脉冲个数为。 二、单项选择题(本大题共10小题,每小题2分,总计20分) 1、要将方波脉冲的周期扩展16倍,可采用:。 A、16进制计数器 B、十位二进制计数器 2、能实现串行数据变换成并行数据的是:。 A、编码器 B、译码器 C、移位寄存器 D、二进制计数器3、构成4位寄存器应选用个触发器。 A、2 B、4 C、6 D、8 4、对于由3个D触发器组成的单向移位寄存器,3位串行输入数码全部输入寄存器并全部串行输出,则所需要的移位脉冲的数量为。 A、12 B、6 C、3 D、2 5、具有“置0”、“置1”、“保持原状”、“状态翻新”,被称为全功能的触发器的是。 A、D触发器 B、T触发器 C、JK触发器 D、同步RS触发器 6、在触发脉冲作用下,每来一个触发脉冲,触发器的状态就翻转一次的触发器是:。 A、D触发器 B、T,触发器 C、JK触发器 D、同步RS触发器 7、对于基本RS触发器,若S=R=0,则。 A、Q=Q=0 B、Q=Q=1 C、Q=1,Q=0 D、Q=1,Q=0 8、存储8位二进制信息要个触发器。 A、2 B、4 C、6 D、8 9、对于一个共阳极型数码管,若译码器输出送数码管驱动的abcdefg=0000110,则显示的字符为。 A、2 B、3 C、E D、F 10、在下列逻辑电路中,不是组合逻辑电路的是:。 A、译码器 B、运算放大器 C、全加器 D、编码器 三、问答及作图题(本大题共4小题,每小题8分,共32分) 1、触发器的描述方法有哪些?请列举其中四种。 2、异步计数器在结构上有何特点?同步计数器在结构上有何特点? 3、两个D触发器构成的电路如图二所示,设初态Q0=Q1=1,试画出在5个脉冲作用下Q0、 Q、Q1 、 1 Q端的输出波形。 CP (图二) Q Q Q1 CP 1 Q

数字电子技术-复习选择填空题汇总(精简)

一、 选择题: 1、在下列逻辑电路中,不是组合逻辑电路的是( D ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 2、下列触发器中没有约束条件的是( D ) A 、基本RS 触发器 B 、主从RS 触发器 C 、同步RS 触发器 D 、边沿D 触发器 3、555定时器不可以组成 D 。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K 触发器 4、编码器(A )优先编码功能,因而( C )多个输入端同时为1。 A 、有 B 、无 C 、允许 D 、不允许 5、( D )触发器可以构成移位寄存器。 A 、基本RS 触发器 B 、主从RS 触发器 C 、同步RS 触发器 D 、边沿D 触发器 6、某触发器的状态转换图如图所示,该触发器应是( C ) A. J-K 触发器 B. R-S 触发器 C. D 触发器 D. T 触发器 7、十进制数6在8421BCD 码中表示为-------------------------------------------------( B ) A.0101 B.0110 C. 0111 D. 1000 8、在图所示电路中,使__ A Y 的电路是---------------------------------------------( A ) A. ○1 B. ○2 C. ○3 D. ○4 9、接通电源电压就能输出矩形脉冲的电路是------------------------------------------( D ) A. 单稳态触发器 B. 施密特触发器 C. D 触发器 D. 多谐振荡器 10、多谐振荡器有-------------------------------------------------------------------------------( C ) A. 两个稳态 B. 一个稳态 C. 没有稳态 D. 不能确定 11、已知输入A 、B 和输出Y 的波形如下图所示,则对应的逻辑门电路是-------( D ) A. 与门 B. 与非门 C. 或非门 D. 异或门 12、下列电路中属于时序逻辑电路的是------------------------------------------------------( B ) A. 编码器 B. 计数器 C. 译码器 D. 数据选择器 13、在某些情况下,使组合逻辑电路产生了竞争与冒险,这是由于信号的---------( A ) A. 延迟 B. 超前 C. 突变 D. 放大

数字电路试题及答案后面附带1doc

数字电路模拟题(答案附后) 注:此为上次考试模拟卷和答案,与本次模拟卷题目相同,但顺序不同,以此卷做参考。 一、 1、数制转换(其中B表示二进制,D表示十进制,H表示十六进制) (1)(10110)B=( )D (2)(0.1011)B=( )D (3)(3B)H=( )D (4) (0.35) H=()D (5) (0.34)D=()H=( )B (6) (1011.101) B=( )D (7) (3F) H =( )D (8) (0.8125) D=( )B (9) (173) D=( )H (10) (0101.0110)B=( )D (11) (8FA.C6)=( )B (12) (0.35 )H = ( )D (13) (73)D =( )H 2、利用逻辑代数的基本公式和常用公式化减下列各式 3、指出下列存储系统各具有多少个存储单元,至少需要几根地址线和数据线? 4、设存储器的起始地址为全0,试指出下列存储系统的最高地址为多少? 二、如图所示为由NMOS管构成的逻辑电路。试写出其逻辑表达式并说明它是什么逻辑电路? 三、双互补对与反相器引出端如图所示,试连接成3输入端或非门。

四、试分析如图所示逻辑电路的功能,写出逻辑表达式和真值表。 1、 2、 3、 五、1、试用三个3输入端与门,一个或门和非门实现语句“A>B”,A和B均为两位二进制数。 2、试用三个3输入端与门,一个或门和数个非门实现语句“A>B”,A和B均为两位二进制数。 3、分别写出同步RS、T触发器的特性表和特性方程。 4、用2输入与非门和反相器设计一个三位的奇偶校验器,即当3位数中有奇数个1时输出为1,否则输出为0。 六、电路如图所示,写出驱动方程、状态方程、列出状态表、画出状态图,并确定逻辑功能。 1、

数字电路期末试卷

2015-2016年第一学年度 汕尾市职业技术学校电子线路期末考试(开卷) 班级___________ 姓名__________ 分数__________ 一选择题(本大题共十道小题,每小题2分) 1、模拟电路中晶体管大多工作于( )。 A.放大状态 B.截止状态 C.击穿状态 D.饱和状态 2、当逻辑函数有n个变量时,共有( )个变量取值组合? A. n B. 2n C. n2 D. 2n 3、十进制数25用8421BCD码表示为( )。 A、10 101 B、0010 0101 C、100101 D、100101 4、下列逻辑式中,正确的逻辑公式是( )。 A.A+B=A B B. A+B=A B + C. A+B=AB D. A+= 00 5、二输入端的与非门,其输入端为A、B,输出端为Y,则其表达式Y= ()。 A、AB B、AB C、B A+D、A+B 6、逻辑式A+BC=( )。 A .A+ B B.A+ C C.(A+B)(A+C) D.B+C 7、辑电路如图示,其逻辑式为( )。 A.F=A+BC B、F=A B C ?+ C、F=A B C ++D、F=A·BC

1 1& ≥1 1F A B C 8、一个T触发器,在T=0时,加上时钟脉冲,则触发器()。 A、保持原态 B、置0 C、置1 D、翻转 9、欲对全班43个学生以二进制代码编码表示,最少需要二进制码的位数是 ()。 A、5 B、6 C、8 D、43 10、下列电路中,不属于组合逻辑电路的是( ) A.译码器B.全加器 C.寄存器 D.编码器 二填空题(本大题共十小题每小题2分) 1、数字信号的特点是在上和上都是断续变化的,其高电平和低电平 常用和来表示。 2、OC门的输出端可并联使用,实现________功能;三态门可用来实现 ______________。 3、(35)10 =()2 = ( )8421BCD 4、基本逻辑运算有________、________、________3种。 5、在RS、JK、T和D触发器中,_____触发器的逻辑功能最多。 6、组合电路由________________构成,它的输出只取决于_ ________________ 而与原状态无关。

相关文档
相关文档 最新文档