文档库 最新最全的文档下载
当前位置:文档库 › 基于Multisim的四人抢答器设计与仿真

基于Multisim的四人抢答器设计与仿真

基于Multisim的四人抢答器设计与仿真
基于Multisim的四人抢答器设计与仿真

设计报告

题目: 基于Multisim的四人抢答器设计与仿真

课程:通信终端设计项目实训

专业:电子信息工程技术

班级: 1401011

学号: 140101102

学生姓名:李鸿磊

2016年 03月14日

1任务目标

1)抢答器最多可供4名选手参赛,编号为1-4号,各队对应用一个按钮S1-S4中一个控制,并设置一个清零和抢答控制开关S5,该开关由主持人控制。

2)抢答器具有锁存功能,直到主持人,清零。

3)开关S作为清零及抢答控制开关(由主持人控制),当开关S被按下时抢答电路清零,当开关S松开后这允许抢答,输入抢答信号由抢答按钮开关S1-S4实现。4)有抢答信号输入,即开关S1-S4中的任意一个开关被按下时,对应的LED灯变亮,此时再按其它任何一个开关被按下均无效,指示灯依旧“保持”第一个开关按下时所对应的状态不变。

5)记忆功能。电路能够保存第一个按下开关者的信号,让其对应的信号灯自动保持长亮,从而答题者不用长按开关。并且该信号还要对屏蔽电路起作用。

6)屏蔽功能。当第一个灯亮起之后,电路能够使用记忆的信号,屏蔽掉其他后继答题者的开关信号,使其他的灯不会再亮.

7)恢复功能.主持人开关可以使电路恢复到初始状态。

2 总体设计思路

上升沿触发D触发器的功能

按照抢答的规则,首先.电路能对第一个按下抢答开关的答题者迅速做出反应,对应的灯要亮,并且灯亮起之后,该答题者不必长按

开关,电路可以自行工作,保持灯亮。其次,当有一盏抢答灯亮起之

后,其他答题者后继的开关按下信号不会使其它的信号灯亮。最后,当本次答题结束后,主持人的开关可以关闭亮起来的灯,使电路恢复初始状态,等待下一次的抢答。

2.1输入部分

抢答按钮按下时,输入高电平有效。

2.2触发器

该触发器是1个D触发器74LS175,它具有置0端,置1端和CP 端;1个4输入与门,1个二输入与门;四个轻触开关,它们组成抢答电路中的CP时钟脉冲源,抢答开始时,由主持人清除信号,按下复位开关S5,74LS175的输出Q 1非~Q 4非全为1,所有发光二极管LED均熄灭,当主持人宣布“抢答开始”后,首先作出判断的参赛者立即按下开关,对应的发光二极管点亮,同时,通过与门送出信号锁住其余三个抢答者的电路,不再接受其它信号,直到主持人再次清除信号为止。

2.3逻辑控制部分

2.4输出提示部分

3 仿真系统调试

3.1有人抢答时

如:当S1抢答按下时对应的LED1灯亮其他的S2-S4则按不亮。

3.2裁判复位时

在抢答者抢答完一次后在进入下一轮强大时裁判按下S5进行复位清零(低电平)

4 总结

这一课程设计使我们将课堂上的理论知识有了进步的了解,并增强了对数字电子技术这门课程的兴趣。这个课题用到了数字电路方面的知识,通过这次课程设计,了解了更多电子元件的工作原理,如:74LS00、74LS20、74LS175。使我对TTL等系列元器件以及其他集成电路有了一定的了解,但同时也暴露出我在知识上掌握不足等缺点。

平时课上的学习并不能很好的理解和运用各个元件的功能。

课程设计四人抢答器实验报告

课程设计四人抢答器实验报告

课题:四人智力抢答器专业: 班级: 学号: 姓名: 指导教师: 设计日期: 成绩: 电气学院

四人智力抢答器设计报告 一、设计目的作用 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计要求 设计一台可供4名选手参加比赛的智力竞赛抢答器。当主持人说开始时,四人开始抢答,电路能判别出四路输入信号中哪一路是最先输入信号,并给出声、光、数码显示。 (1) 4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2) 给主持人设置一个控制按钮,用来控制系统清零和抢答的开始。 (3) 抢答器具有数据锁存的功能。抢答开始后,若有选手按动抢答按钮,该选手指示灯亮并立即锁存,同时扬声器给出音响提示,禁止其它选手抢答。抢答选手的指示灯一直保持到主持人将系统清零为止。 (4)选择B题的除了具有上述功能外,还要在声、光显示的同时,在数码管上显示选手的编号,编号一直保持到主持人将系统清零为止。 三、设计的具体实现 1、系统概述

电路主要由脉冲产生电路,锁存电路,编码及译码显示电路和音响产生电路。当有选手抢答时首先锁存,防止其它选手抢答,然后编码,再经4线7段译码器将数字显示到显示器上同时产生音响,电路结构系统如图: (1)以锁存其为中心的编码显示器 抢答信号的判断和锁存能够采用触发器或锁存器。若以四D触发器74LS175为中心构成编码锁存系统,编码的作用是把锁存器的输出转化为8421BCD码,进而送给7段显示译码器。其真值表为: 锁存器输出编码器输出 Q4 Q3 Q2 Q1 D C B A 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 1 0 0 0 0 1 1 1 0 0 0 0 1 0 0

四人抢答器课程设计报告

电子技术课程设计 智力竞赛抢答器 姓名:郑亚林 班级:08级电子一班 学号:200800800461 指导老师:李素梅

四人智力竞赛抢答器 一、设计目的 1、掌握四人智力竞赛抢答器电路的设计。 2、熟悉数字集成电路的设计和使用方法。 3、掌握应用Multisim软件对电路的设计及仿真 二、设计任务与要求 1、设计任务 设计一个可供4名选手参加比赛的智力竞赛抢答器的数字电路。设定答题时间为30秒倒计时,时间到时,蜂鸣器会报警至主持人按下复位键。选手抢答时,数码显示选手组号,同时计分部分对应选手组别的发光二极管发光,在30秒内答对时主持人按下加分键,给相应的组别加一分。 2、设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为J1,J2,J3,J4。 (2)给主持人设置一个控制按钮J5,用来控制系统清零(抢答显示数码管灭灯)和一个加分按钮J6,用来给同学加分,设定最高分为9 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时30秒答题倒计时的功能。当选手按下抢答按钮后,开始倒计时,显示器显示倒计时间,倒计时结束时,扬声器响,保持到主持人将系统清零为止。 三、四人智力竞赛抢答器电路原理及设计 1、设计思路 抢答器整个系统可分为三个主要模块:抢答鉴别模块、计分模块、答题倒计时模块。即当抢答开始后,选手抢答按动按钮,锁存器锁存相应的选手编码,同时用数码管把选手的编码显示出来,对应加分模块的发光二极管也会发光。当有选手抢答成功后,30秒答题倒计时开始跑秒,若选手在规定的时间内答对题,主持人按下J6给相应的选手加一分并且按下J5,系统清零。 2、系统框图 抢答开始后,当选手首先按某一开关键时,可通过触发锁存电路被触发并锁存,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱,最先产生的输出电平变化又反过来将触发电路锁定。然后在译码器中译码,将触发器输出的数据转换为数码管需要的逻辑状态。最后在显示电路中显示出所

EDA课程设计—四人抢答器设计

摘要 现代生活中,数字电路产品与我们接触的是越来越平凡了,包括计算机、电子表、智能仪器表及其它很多领域中,它给我们带来的不仅是工作上的方便,而且也给我们的生活娱乐添滋加彩。这次EDA课程设计中,我做的是四人抢答器,基于设计要求,本文主要是从锁存器及计数器功能和VHDL语言着手,但侧重点在用VHDL语言上。首先简单介绍一下数字电路、EDA、VHDL等的有关知识,其次介绍了一下设计要求和我的设计构想,再运用VHDL语言特点,写出程序代码,最后是一些总结和抢答器部分实验电路图与倒计时设计的电路图和用MAX+PLUSII软件仿真的结果部分图附录等部分。 关键词:置位;复位;锁存;计数器;七段显示器;MAX+PLUSII;译码器 目录 摘要: (1) 引言: (2) 一、设计任务及要求: (2) 二、题目分析与整体构思: (2) 三、VHDL程序设计: (3) 四、心得体会及模型评价与推广: (5) 附录: (6) 参考文献: (10)

引言 数字电路主要是基于两个信号(我们可以简单的说是有电压和无电压),用数字信号完成对数字量进行算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。 EDA技术又称电子设计自动化,它是为解决自动控制系统设计而提出的,从70年代经历了计算机辅助设计(CAD),计算机辅助工程(CAE),电子系统设计自动化(ESDA)3个阶段。前两个阶段的EDA产品都只是个别或部分的解决了电子产品设计中的工程问题;第三代EDA工具根据工程设计中的瓶颈和矛盾对设计数据库实现了统一管理,并提出了并行设计环境概念,提供了独立于工艺和厂家的系统级的设计工具。 VHDL(VERY HIGH SPEED INTEGRA TED CIRCUIT HARDW ARE DESCRIPTION LANGUAGE)语言最早是有美国国防部提出的,它支持行为领域和结构领域的硬件描述,并且可以从最抽象的系统级一直到最精确的逻辑级,在描述数字系统时,可以使用前后一致的语义和语法跨越多个层次,并且使用跨越多个级别的混合描述模拟该系统。因此,它可以由高层次行为描述子系统及低层次详细实现子系统所组成的系统模拟。它有两个版本IEEEStd1076-1987[LRM87]和IEEEStd1076-1993[LRM93],他们并不完全兼容,但做一些修改就可以兼容了。 许多公司都为VHDL开发出了编译和仿真软件,其中Max+plusII(或写成Maxplus2,或MP2) 是Altera公司推出的的第三代PLD开发系统(Altera第四代PLD开发系统被称为:QuartusII,主要用于设计新器件和大规模CPLD/FPGA).使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。设计处理一般在数分钟内内完成。特别是在原理图输入等方面。 一、设计任务及要求: 本设计要求做一个四人抢答器,并要求当有某一参赛者首先按下抢答开关时,相应 显示灯亮并报警,此时抢答器不再接受其他输入信号。电路具有回答问题时间控制功能。要求回答问题时间小于等于100s(显示0-99),时间采用倒计时方式。当到达限定时间,发出警告。 二、题目分析与整体构思: 对于一个四人抢答器,四个选手在电路中的起始控制作用是一样的,当裁判员宣布开始抢答时,谁先按下他前面的控制开关,他的灯就会亮,而且这时其他人再怎么按,也就不会亮了,说明每个人对其他人都有一个先发制人的作用,及每个人都在时间控制下,能锁存住其他选手的功能。当有一个指示灯亮了,计数器就开始从99开始倒计时,到0时还要警告声,这样计数器开始工作就是在指示灯的指示下工作。 可以设四个人分别为输入端A,B,C,D;因为四个输入端在VHDL中,要求四个输入端

四人抢答器电路设计

课程设计说明书 题目: 四人抢答器电路设计 课程名称:数字电子技术 ______ 学院:电子信息与电气工程学院 学生姓名:_____________ 裴雷雨 _________ 学号:____________ 20110201011X ______ 专业班级:自动化2011级2班 指导教师:______________ 李立 __________ 2013年6月6日

课程设计任务书

四人抢答器电路设计 摘要:本文介绍设计了一个四人抢答器控制电路,该电路能够实现四人比赛抢答的功能并通过发光二极管和蜂鸣器实现对抢答者的声光报警指示。其中抢答电路用四D触发器74LS175、与非门74LS00和555 定时器实现;报警电路用一个上拉电阻、发光二极管、蜂鸣器等实现抢 答声光指示器;显示电路用74LS74 D触发器、74LS192计数器、74LS47 译码器(驱动器)和七段共阳极数码显示器实现;时钟电路用计数器 74LS192、555 定时器、74LS47 译码器(驱动器)、七段共阳极数码显 示器和双D 触发器74LS47 共同完成十秒倒计时的时钟电路。 关键词:抢答器;声光报警;定时电路;显示电路;时钟电路

目录 1?设计背景 (1) 1.1数字电路系统 (1) 1.2时钟电路的作用及基本构成 (1) 1.3Multisim 软件和DXP 软件.. (1) 2?设计方案 (2) 2.1分析任务 (2) 2.2论证方案 (2) 2.3电路分析.. (2) 3.方案实施... .. . (3) 3.1设计原理图...... (3) 3.2用Multisim 电路仿真.. (10) 3.3制作PCB ...... (12) 3.4安装与调试... . ...... . ... . (12) 4.结果与结论...... .. (12) 5.收获与致谢......... . ............ . (13) 6.参考文献............ . ...... . (13) 7. ............................ 附件 ........................................... .................... .. (14) 7.1电路原理图......... . (14) 7.2PCB 布线图………… ... ………… . ………………………… (15) 7.3实物图........................ .. ...... . (16)

四人抢答器plc课程设计

课程设计说明书 题目名称:四组抢答器plc课程设计 系部:机械工程系 专业班级:机械化13-1班 学生姓名: 学号:2013233 指导教师:全瑞琴 完成日期:2017年1月8号

新疆工程学院 课程设计评定意见 设计题目四组抢答器plc课程设计 系部机械工程系专业班级机械化13-1班学生姓名学生学号2013233 评定意见: 评定成绩: 指导教师(签名):年月日

(此页背书) 评定意见参考提纲: 1、学生完成的工作量与内容是否符合任务书的要求。 2、学生的勤勉态度。 3、设计或说明书的优缺点,包括:学生对理论知识的掌握程度、实践工作能力、表现出的创造性和综合应用能力等。

新疆工程学院 机械工程系系(部)课程设计任务书 2015-2016 学年第一学期2016 年 1 月10日 教研室主任(签名)系(部)主任(签名)

摘要 随着微处理器、计算机和数字通讯技术的飞速发展,计算机控制已扩展到了所有控制领域。而实用抢答器的这一产品是各种竞赛活动中不可缺少的设备,无论是学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有的各种各样的智力竞赛抢答器绝大多数是早期设计的,本设计要求就是利用PLC作为核心部件进行逻辑控制及信号的产生,用PLC本身的优势使竞赛真正达到公正、公平、公开。设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先选择这个题目之后我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路然后仔细分析PLC控制的四路智力抢答器的工作原理以及它的一些工作过程分析后得 出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要9个,输入端口需要6个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点因此我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活维护使用方便等特点。 关键词: 可编程控制器抢答器 PLC 模拟电路数字电路

4人抢答器电路设计方案

电工学实验A(下)实验报告 4人竞赛抢答器的设计 学院名称:材料科学与工程 班级:10级4班 姓名:XXX 学号:1810004XX 成绩: 2013 年 1 月 12 日

目录 第一篇实验设计任务介绍 (3) 1.1设计题目 (3) 1.2实验目的 (3) 1.3实验内容 (3) 1.4实验要求 (4) 第二篇设计背景 (5) 第三篇设计原理 (5) 3.1抢答器的主要功能简介 (5) 3.2抢答器工作原理 (7) 3.2.1 74LS175原理介绍 (7) 3.2.2 74LS00原理介绍 (9) 3.2.3.555原理介绍 (11) 第四篇设计电路图介绍 (14) 4.1电路设计图 (14) 4.2.元件清单 (15) 第五篇心得体会 (15)

第一篇实验设计任务介绍 1.1设计题目 数控增益放大器的设计 1.2实验目的 1、掌握面包板搭接电路技术; 2、学习调试系统电路,提高实验技能; 3、了解竞赛抢答器的工作原理及其结构。 1.3实验内容 1、设计任务: 设计制作一个可容纳四组参赛的数字式抢答器。 2、设计要求: (1)每组设置一个抢答按钮,供抢答者使用; (2)电路具有第一抢答信号的鉴别和锁存功能; (3)在主持人将系统复位并发出抢答指令后,若有参赛者按抢答开关,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别; (4)同时电路应具备自锁功能,使别组的抢答开关不起作用; (5)要求电路主要选用中规模TTL或CMO S集成电路。 3、设计要点: (1)抢答控制器:竞赛抢答器的核心,当任意一位参赛者按下开关时,抢答控制器立刻接受该信号,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别。 与此同时,封锁其他参赛者的输入信号,这就要求抢答器的分辨能力高(CP

数字电子技术课程设计报告四人抢答器精修订

数字电子技术课程设计报告四人抢答器 GE GROUP system office room 【GEIHUA16H-GEIHUA GEIHUA8Q8-

数字逻辑电路 课程设计报告系(部):三系 专业:通信工程 班级: 12 通信 2 班 姓名:杨超 学号: 成绩: 指导老师:李海霞 开课时间: 2013-2014 学年 2 学期

一、设计题目 数字式竞赛抢答器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim软件完成题目的整体设计 三、具体要求 (1) 在给定5V直流电源电压的条件下设计一个可以容纳四组参赛者的抢 答器,每组设定一个抢答按钮供参赛者使用。4名参赛者编号为:1、2、3、4,按钮的编号与选手的编号对应,也分别为1、2、3、4。 (2) 设置一个系统清零和抢答控制开关K=space(该开关由主持人控 制),当开关K被按下时,抢答开始(允许抢答),打开后抢答电路清零。 (3) 抢答器具有一个抢答信号的鉴别、锁存及显示功能。即参赛者的开关 中任意一个开关被按下,锁存相应的编号,并在抢答显示器上显示该编号,同时扬声器发声。此时再按其他任何一个抢答器开关均无效,优先抢答选手的编号一直保持不变,直到主持人将系统清除为止。 (4) 抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定 时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬

声器响。参赛者在设定时间(9秒)内抢答有效,抢答成功,扬声器响,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5) 如果抢答定时间截止,却没有选手抢答时,本次抢答无效。系统扬声 器报警,并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。 第二天:消化课题,掌握设计要求,明确设计系统全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成: 1、理论设计方案,演示所设计成果,总成绩40%;

四人竞赛抢答器实验报告

数电实验报告 姓名:侯婉思 专业:通信工程 班级:1111 学号:11387121 指导老师:田丽娜

四人竞赛抢答器实验报告 一.前言 现今,形式多样、功能完备的抢答器已广泛应用于电视台、商业机构、学校、企事业单位及社会团体组织中,它为各种知识竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活。 对于抢答器我们大家都知道那是用于选手做抢答题时用的,选手进行抢答,抢到题的选手来回答问题。抢答器不仅考验选手的反应速度同时也要求选手具备足够的知识面和一定的勇气。选手们都站在同一个起跑线上,体现了公平公正的原则。 本文介绍了一种用74系列常用集成电路设计的高分辨率的4路抢答器。该抢答器为全数字集成电路设计,具有分组数多、分辨率高等优点。该抢答器除具有基本的抢答功能外,还具有优先能力,定时及复位功能。主持人通过控制开关使抢答器达到复位的功能。 二.实验目的 1. 学习并掌握抢答器的工作原理及其设计方法 2. 熟悉各个芯片的功能及其各个管脚的接法。 3. 灵活运用学过的知识并将其加以巩固,发散思维,提高学生的动手能力和思维的缜密。 三.设计任务与要求 1、设计任务 设计一台可供4名选手参加比赛的竞赛抢答器。选手抢答时,数码显示选手组号。 2.设计要求: 抢答器的基本功能: 1.设计一个智力抢答器,可同时供四名选手或四个代表队参加比赛,编号为一,二,三,四,各用一个抢答按钮,分别用四个按钮S0——S3表示。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管清零)。 3.抢答器具有数据锁存和显示的功能,抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,此外,要封锁输入电路,实现优先锁存,禁止其他选手抢答,优先抢答选手的编号一直保持到主持人将系统清零为止。 简言之,有选手按下时,显示选手的编号。同时,其他人再按下时电路不做任何处理。也就是说,如果有选手按下以后,别的选手再按的话电路不会显示是他的编号。 4.可用555定时器产生频率为1H z的脉冲信号,作为触发器的CP信号。四.四人竞赛抢答器电路原理及设计

(完整版)基于51单片机的4人抢答器课程设计

基于51单片机的4人抢答器设计 设计要求: 以单片机为核心,设计一个4位竞赛抢答器:同时供4名选手或4个代表队比赛,分别用4个按钮S0~S3表示。 设置一个系统清除和抢答控制开关S,开关由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清除为止。 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。 当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间为0.5s左右。 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 工作原理: 通过键盘改变抢答的时间,原理与闹钟时间的设定相同,将定时时间的变量置为全局变量后,通过键盘扫描程序使每按下一次按键,时间加1(超过30时置0)。同时单片机不断进行按键扫描,当参赛选手的按键按下时,用于产生时钟信号的定时计数器停止计数,同时将选手编号(按键号)和抢答时间分别显示在LED上。

#include #define uchar unsigned char #define uint unsigned int uchar num; //定义中断变量,num计满20表示1秒时间到uchar num1; //十秒倒计时显示初始值 uchar flag1,flag2; //清零键及开始键按下标志位 uchar flag3,flag4=0; //定义键盘按下标志位 uchar code table[]={ 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f}; //数码管编码

数字时钟的Multisim设计与仿真

电子电路 设计和仿真 Multisim 学院: 专业和班级: 姓名:学号: 数字时钟的Multisim 设计和仿真 一、设计和仿真要求 学习综合数字电子电路的设计、实现和调试 1.设计一个24或12小时制的数字时钟。 2.要求:计时、显示精确到秒;有校时功能。采用中小规模集成电路设计。 3.发挥:增加闹钟功能。 二、总体设计和电路框图 1.设计思路 1).由秒时钟信号发生器、计时电路和校时电路构成电路。 2).秒时钟信号发生器可由555定时器构成。 3).计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 4).校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。2.电路框图

二、子模块具体设计 1.由555定时器构成的1Hz 秒时钟信号发生器。 由下面的电路图产生1Hz 的脉冲信号作为总电路的初输入时钟脉冲 图2.时钟信号发生电路 2. 分、秒计时电路及显示部分 -VC K ? OTT - ? THR ? T£L1 - O0&I H L : ? r GND ,,, 48kQ R2 48kQ —10uF 士伯 DtiF ....... ■ ■ j - ■ ■ >100Q

在数字钟的控制电路中,分和秒的控制都是一样的,都是由一个十进制计数器和一个六进制计数器串联而成的,在电路的设计中我采用的是统一的器件74LS160D 的反馈置数法来实现十进制功能和六进制功能,根据74LS160D的 结构把输出端的0110 (十进制为6)用一个与非门74LS00引到CLR端便可置0,这样就实现了六进制计数。 由两片十进制同步加法计数器74LS160级联产生,采用的是异步清零法显示部分用的是七段数码管和两片译码器74LS48D 。 图3.分秒计时电路 3.时计时电路及显示部分 由两片十进制同步加法计数器74LS160级联产生,采用的是同步置数法, u1输出端为0011 (十进制为3)与u2输出端0010 (十进制为2)经过与非门接两片的置数端。 显示部分用的是七段数码管和两片译码器74LS48D 。

课程设计报告---四人抢答器

课程设计报告设计题目四人抢答器 摘要

在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器。通过抢答器的数显,灯光和音响等手段指示出第一抢答者。同时还可以设置定时、记分犯规及奖惩等多种功能。 本设计采用手动抢答的方式,有人抢答后,系统自动封锁其他人的抢答按钮,使其不能再抢答,从而实现抢答功能。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。经过模拟仿真,下载到开发板等工作后数字抢答器成型。 关键字 抢答电路定时电路报警电路时序控制

目录 摘要 (1) 第1章概述 (3) 第2章课程设计任务及要求 (4) 2.1 设计任务 (4) 2.2 设计要求 (4) 第3章系统设计 (5) 3.1设计方案 (5) 3.2 系统设计 (5) 3.2.1 结构框图及说明 (5) 3.2.2 系统原理图及工作原理 (6) 3.3单元电路设计 (7) 第4章软件仿真 (12) 4.1 仿真电路图 (12) 4.2 仿真过程 (12) 4.3 仿真结果 (14) 第5章安装调试 (14) 5.1 安装调试过程 (14) 5.2 故障分析 (15) 第6章心得体会 (16) 附录使用元件清单 (18) 参考文献 (18)

第1章概述 随着社会的不断发展,人们的生活水平也在不断的提高人们不断要满足物质上的要求,同时对精神上的追求也在不断的提高,现在的社会是一个娱乐的社会。现在各电视台的活动和课外活动都很多。人们在参加活动的时候都对审判有很多的意见,所以为了比赛的准确和公正,就需要有仪器的辅佐。 智力竞赛抢答器就是一种活泼的有趣的供人们娱乐的游戏装置,通过抢答方式不仅能引起参赛者和观众的兴趣,而且能够提高参赛者的敏捷性,同时我们在参与中能够增加一些生活常识和科学知识,因此,在许多比赛活动中为了准确,公正的进行每一场比赛,特别设置了一台具有显示第一抢答者并锁定、犯规警告等多种功能的抢答器,该设计针对各种要求设计出可供八名选手参赛使用的数字式竞赛抢答器,适用于各大中小电视台,学校等单位举行的智力竞赛。数字电路组成的数字系统工作可靠,精度较高,抗干扰能力很强,所以智力竞赛抢答器的设计就有数字电路来控制。 第2章课程设计任务及要求 2.1 设计任务 设计一个四位智力竞赛抢答器。准确地理解有关要求,独立完成系统设计,要求所设计的电路具有以下功能: (1)设计4组参赛的抢答器,每组设置一个抢答按钮。 (2)给节目主持人设置一个控制开关S,这个开关由主持人控制,进行清零和抢答使能。 (3)抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示。 (4)抢答器具有抢答计时功能,且一次抢答的时间由主持人设定(如30秒)。 2.2 设计要求

四人智力抢答器课程设计报告

四人智力抢答器课程设计 报告 Prepared on 22 November 2020

数字电子技术课程设计报告 设计课题: 四人智力竞赛抢答器 学院: 专业: 电子信息工程 班级: 2010级电信(1)班 姓名: 学号: 日期 2012年 12月9日——2012年12月23日指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。 通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。 经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。 关键词:四人智力竞赛抢答器、74LS175、脉冲、锁存器 目录 1 设计任务及要求 (1) 2 比较和选定设计的系统方案、画出系统框图 (1) 方案比较 (1) 系统框图 (3)

3单元电路设计、参数计算和器件选择 (3) 抢答电路设 (3) 定时电路设计 (6) 报警电路设计 (9) 4完整的电路图及电路的工作原理 (10) 完整电路图 (10) 工作原理..............................................................................11 5经验体会. (12) 参考文献 (12) 附录A:系统电路原理图 (13) 附录B:元器件清单 (14)

四人抢答器课程设计报告_选定

本科课程设计专用封面 设计题目: 四人抢答器 所修课程名称: 电子技术基础数字部分 修课程时间: 2012 年 9 月 26日至 12月 27日 完成设计日期: 2012 年 12月 27 日 评阅成绩: 评阅意见: 评阅教师签名: 年 月 日 ____工____学院__2010__级__电气工程及其自动化__专业 姓名_______ 学号________________ ………………………………(装)………………………………(订)………………………………(线)………………………………

四人智力竞赛抢答器 一、设计题目 四人智力竞赛抢答器 二、设计任务与要求 1)设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”。选手抢答时,数码显示选手组号,倒计时停止,此时抢答按键无效,数码管显示数字不能改变;倒计时完成之后抢答按键被锁住,按键无效。 2)设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,抢答按键被锁住,直到主持人恢复倒数数据。参赛选手在设定时间(9秒)内抢答有效,抢答成功,定

四人抢答器课程设计报告

《数字电子技术基础》课程设计任务书 专业:电气工程及其自动化班级:13电气专升本 学号:130732002 姓名:陈云飞 指导教师:耿素军 二零一三年十一月二十六日

四路数电抢答器 一、课程设计(论文)要求及原始数据(资料): 1)设计制作一个容纳4组参赛队的数字式抢答器,每组设置一抢答按钮供抢答者使用。 2)设计抢答者的输入抢答锁定电路、抢答者序号编码、译码和显示电路。 3)设计定时电路,声、光报警或音乐片驱动电路。 4)设计控制逻辑电路,启动、复位电路。 5)设计计分电路,犯规电路。 6)安装自己设计的电路和仿真。 7)写出设计报告。 二、课程设计进度: 二、主要参考文献 【1】阎石《数字电子电路》. 北京:高等教育出版社. 2007 【2】康华光. 《电子技术基础》(数字部分). 北京:高等教育出版社. 2000 【3】何小艇《电子系统设计》浙江大学出版社,2000 【4】董诗白《数字电子技术》高等教育出版社,2001 【5】吕思忠《数字电路实验与课程设计》,2001

专业班级 13电气专升本学生陈云飞李安瑞王菲安浩然王克强 课程设计(论文) 工作截至日期 2013-12-10 课程设计评语 课程设计成绩;

目录 1课程设计目的 (1) 2设计指标 (1) 3 总体框题设计 (1) 4方案设计 (2) 5总电路图设计 (8) 6使用元件 (9) 7总结 (10) 8 参考文献 (11)

一、课程设计目的 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计指标 (1)设计制作一个容纳4组参赛队的数字式抢答器,每组设置一抢答按钮供抢答者使用。 (2)设计抢答者的输入抢答锁定电路、抢答者序号编码、译码和显示电路。 (3)设计定时电路,声、光报警或音乐片驱动电路。 (4)设计控制逻辑电路,启动、复位电路。 (5)设计计分电路,犯规电路。 (6)安装自己设计的电路和仿真。 (7)写出设计报告。 三、总体框图设计 当主持人宣布开始,定时电路开始秒脉冲电路的作用而进行倒记时,并通过译码器在显示器中显示。报警电路给出声音提示。当选手首先按某一开关键时,可通过触发锁存电路被触发并锁存,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱,最先产生的输出电平变化又反过来将触发电路锁定。然后在译码器中译码,将触发器输出的数据转换为数码管需要的逻辑状态。最后在显示电路中显示出所按键选手的号码。若有多个开关同时按下时,则在它们之间存在着随机竞争的问题,结果可能是它们中的任一个产生有效输出。如图1.

数字电子课程设计_4路抢答器课程设计报告

一、设计题目 题目:四人智力竞赛抢答器 二、设计任务和要求 1)设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。 2)设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 (6)可用石英晶体振荡器或者555定时器产生频率为1H z的脉冲信号,作为定时计数器的CP信号。 三、原理电路设计: 1、方案比较; 方案一: 抢答电路:使用74ls175作为锁存电路,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,74ls175立即被锁存,同时蜂鸣器鸣叫1s,这时抢答无效,使用74ls148作为编码器,对输入的型号进行编码,输出4位的BCD码,再将这四位的BCD码输入共阴数码管里显示出抢答者的编号。 主持人电路:;利用74ls190计数器作为倒计时的芯片,当主持人按下抢答按钮时,74ls190被置九,同时将显示上次抢到题目的选手编号的数码管清零,并开始倒计时,,并通过74ls48编码器将即时时间进行编码,并送到7段共阴数码管,显示此时的时间。假如在9秒内有人抢答,则计数器停止倒计时,将锁存器锁存,禁止选手抢答,蜂鸣器鸣叫一秒,停止倒计时。 方案二: 锁存电路采用CD4042来触发,如果用CD4042,则可以用低电平触发,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,CD4042 立即被锁存,同 RC端来将时蜂鸣器鸣叫1s,这时抢答无效。此外当倒计时到0时,利用借位0 锁存器的信号置零。而不是像方案一那样使用max/min端。

四人抢答器电路设计

成绩 课程设计说明书 题目:四人抢答器电路设计 课程名称:数字电子技术 学院:电子信息与电气工程学院 学生姓名:裴雷雨 学号:011X 专业班级:自动化2011级2班 指导教师:李立 2013年6月6日

课程设计任务书 四人抢答器电路设计

摘要:本文介绍设计了一个四人抢答器控制电路,该电路能够实现四人比赛抢答的功能并通过发光二极管和蜂鸣器实现对抢答者的声光报警指示。其中抢答电路用四D触发器74LS175、与非门74LS00和555定时器实现;报警电路用一个上拉电阻、发光二极管、蜂鸣器等实现抢答声光指示器;显示电路用74LS74 D触发器、74LS192计数器、74LS47译码器(驱动器)和七段共阳极数码显示器实现;时钟电路用计数器74LS192、555定时器、74LS47译码器(驱动器)、七段共阳极数码显示器和双D触发器74LS47共同完成十秒倒计时的时钟电路。 关键词:抢答器;声光报警;定时电路;显示电路;时钟电路

目录 1.设计背景 (1) 数字电路系统 (1) 时钟电路的作用及基本构成 (1) Multisim软件和DXP软件 (1) 2.设计方案 (2) 分析任务 (2) 论证方案... (2) 电路分析 (2) 3.方案实施 (3) 设计原理图 (3) 用Multisim电路仿真 (10) 制作PCB (12) 安装与调试 (12) 4.结果与结论 (12) 5.收获与致谢 (13) 6.参考文献 (13) 7.附件 (14) 电路原理图 (14) PCB布线图 (15) 实物图 (16) 元器件清单 (17)

1. 设计背景 数字电路系统 数字电路系统一般包括输入电路、控制电路、输出电路、时钟电路和电源等。输入电路主要作用是将被控信号转换成数字信号,其形式包括各种输入接口电路。比如数字频率计中,通过输入电路对微弱信号进行放大、整形,得到数字电路可以处理的数字信号。模拟信号则需要通过模数转换电路转换成数字信号再进行处理。在设计输入电路时,必须首先了解输入信号的性质,接口的条件,以设计合适的输入接口电路。数字逻辑电路是一门研究数字信号的编码、运算、记忆、计数、存储、分配、测量和传输的科学技术。简单地说是用数字信号去实现运算、控制和测量的科学。 时钟电路的作用及基本构成 时钟电路是数字电路系统中的灵魂,它属于一种控制电路,整个系统都在它的控制下按一定的规律工作。时钟电路包括主时钟振荡电路及经分频后形成各种时钟脉冲的电路。比如多路可编程控制器中的555多谐振荡电路,数字频率计中的基准时间形成电路等都属于时钟电路。设计时钟电路,应根据系统的要求首先确定主时钟的频率,并注意与其他控制信号结合产生系统所需的各种时钟脉冲。 Multisim软件和DXP软件 Multisim软件是由加拿大IIT公司推出的大型设计工具软件。它不仅提供了电路原理图输入和硬件描述语言模型输入的接口和比较全面的数据分析功能,同时还提供了庞大的元、器件模型库和一整套虚拟仪器表,可以满足对一般的数字逻辑电路、模拟电路以及数字-模拟混合电路进行分析和设计的需求。DXP软件是一个软件集成平台,把为电子产品开发提供完整环境所需的工具全部整合在一个应用软件中。DXP 包括所有设计所需的工具:原理图和HDL设计输入、电路仿真、信号完整性分析、PCB设计、基于FPGA的嵌入式系统的设计和开发。此次课程设计主要学习应用到Multisim软件的电路图仿真和DXP软件的原理图及PCB的设计,因此熟练掌握两种软件的基本操作很有必要。 设计方案 分析任务 抢答器具有锁存、定时、显示和报警功能。即当抢答开始后,选手抢答按动按钮,锁存器锁存相应的选手编码,同时用LED数码管把选手的编码显示出来,并且开始抢答时间的倒计时,同时用LED数码管把选手的所剩抢答时间显示出来。而在选手按键抢答以及抢答时间倒计时到时的时候都有报警以提醒主持人和选手。抢答时间设定9秒。接通电源后,主持人将开关拨到“清除”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置“开始”状态,宣布“开始”抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,抢

四人抢答器实验报告

福州大学电气工程与自动化10级 设计性实验报告 实验目的: 1、掌握电路板焊接技术; 2、学习调试系统电路,提高实验技能; 3、了解竞赛抢答器的工作原理及其结构。 实验所用原件清单: 芯片:74LS175,74LS192,74LS48x2,74LS00,74LS20x2,555,电阻:

R1=1K,R2=10K,电容:C=0.1μF,七段共阴极数码管x2 原理(包括主要公式、电路图): 如下图所示为四人抢答电路,电路中的主要器件是74LS175型四上升沿D触发器、74LS192可逆十进制计数器和两个译码显示电路。 抢答前先用RD’清零,Q1~Q4均为0,相应的选手编号数码管显示0;Q1’~Q4’均为1,G1输出0,G2输出1,CP1可经过G3输入到74LS175。同时,倒计时数码管被置为9。抢答开始,RD’置1,倒计时开始。若S1首先按下,则D1和Q1均变为1,相应的选手编号数码管显示1(以此类推);555芯片的4脚接收到高电平,发生振荡,导致喇叭发出声音;同时,G2输出为0,使得175和192芯片不接收脉冲,175芯片进入自锁状态,此时再按S1~S4无效果,而192芯片也停止计时,倒计时数码管保持抢答时的数字不变。若倒计时到0,S1~S4均未按下,则倒计时停止,倒计时数码管保持0;175芯片进入自锁状态。 利用RD’清零,进入下一次抢答。

心得体会及其他: 1、本次设计性实验令我受益匪浅:在设计的过程中,对于各个芯片管脚功能和四路抢答电路原理的学习让我得到了更多知识;对电路板的元件布局锻炼了我的思维能力;在焊接过程中,我又一次提高了我的焊接技术和排查短路、虚焊的能力;在调试过程中,我懂得了分功能、局部进行故障排查,并取得良好效果。 2、故障排查: (1)、电路板电源与地线短路:可逐个对接电源、地的点进行排查(排查时应把它们和响应的电源或地断开)。 (2)、数码管个别段不能发光:怀疑为数码管管脚之间短路,可用电烙铁肃清两脚之间的间隙。 (3)、抢答功能不能实现:抢答功能局部电路接错或虚焊,可用

模电multisim仿真设计

模拟电子技术基础课程设计说明书题目: Multisim仿真应用 学生:明 学号:1 院(系):理学院 专业:应用物理学 指导教师:冠强

2014 年 6 月 10日

目录 第0节背景 (1) 第1节Multisim应用举例——二极管的特性的研究 (1) 第2节 Multisim应用举例——Rb变化对Q点和电压放大倍数的影响 (2) 第3节 Multisim应用举例——直接耦合多级放大电路的调试 (4) 第4节 Multisim应用举例——消除互补输出级交越失真方法的研究 (6) 第5节 Multisim应用举例——静态工作点稳定电路频率影响的研究 (8) 第6节 Multisim应用举例——交流负反馈对放大倍数稳定性的影响 (10) 设计体会及今后改进意见 (12) 参考文献 (12)

第0节背景 Multisim是一个完整的设计工具系统,提供了一个非常大的元件数据库,并提供原理图输入接口、全部的数模Spice仿真功能、VHDL设计接口与仿真功能、 FPGA/CPLD综合、RF设计能力和后处理功能还可以进行从原理图到PCB布线工具包(如:Ultiboard)的无缝隙数据传输。 随着计算机的飞速发展,以计算机辅助设计为基础的电子设计自动化技术(EDA)已经成为电子学领 域的重要学科。EDA工具使电子电路和电子系统的设计产生了革命性的变化,它摒弃了靠硬件调试 来大道设计目标的繁琐过程,实现了硬件设计软件化。 Multisim具有齐全的元器件模型参数库和比较齐全的仪器仪表库,可模拟实验室的操作进行 各种实验。学习Multisim可以提高仿真能力、综合能力和设计能力,还可进一步提高实践能力。 第1节Multisim应用举例——二极管的特性的研究 1.1 题目 研究二极管对直流量和交流量表现的不同特点。 1.2 仿真电路 仿真电路如图1-1所示。因为只有在低频小信号下二极管才能等效成一个电阻所以图流信号的频率为1kHz、数值为10mV(有效值)。由于交流信号很小,输出电压不失真故可以认为直流电压表(测平均值)的读书是电阻上直流电压值。

相关文档
相关文档 最新文档