文档库 最新最全的文档下载
当前位置:文档库 › 数字电子技术课程设计报告--基于 FPGA的四位智能抢答器

数字电子技术课程设计报告--基于 FPGA的四位智能抢答器

数字电子技术课程设计报告--基于 FPGA的四位智能抢答器
数字电子技术课程设计报告--基于 FPGA的四位智能抢答器

数字电子技术课程设计报告--基于 FPGA的四位智能抢答器

专业:xxx

班级:xxx

姓名:xxx

学号:xxx

一、设计任务及要求

基于EDA/SOPC系统开发平台,运用QuartusⅡ可编程逻辑器件开发软件,设计一个4位智能抢答器。要求如下:

1、可以同时供4名选手抢答,其编号分别为1、

2、

3、4,各用

抢答按键S1、S2、S3、S4,按键编号与选手编号对应。主持人设置有一个开始按键S5,一个清零按键S6,用于控制抢答的开始和系统的清零;

2、抢答器具有定时抢答的功能,一次抢答的时间为10秒。当主

持人启动“开始”按键后,用4 位LED 数码管左边两位显示10s 的倒计时;

3、抢答器具有数据锁存和显示的功能,抢答开始后,如果有选手

按动按键,其编号立即锁存并显示在数码管上(显示在右边的两个数码管上),同时封锁输入电路,禁止其他选手抢答;优先选手的编号一直保持到主持人将系统清零为止;

4、参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工

作,显示器上显示抢答时刻的时间(左边两个数码管上)和参赛选手的编号(显示在右边的两个数码管上),并保持到主持人将系统清零为止;如果定时抢答的时间已到而没有选手抢答,本次抢答无效,封锁输入电路,禁止抢答,定时器显示“00”并闪烁,闪烁频率为0.5H Z;一直保持到主持人将系统清零为止;

5、在主持人未按下开始按键时,如果有人抢答则犯规,在显示器

上右边两位闪烁犯规选手的编号,闪烁频率为0.5H Z;一直保持到

主持人将系统清零为止; 6、

说明:系统上电和按下清零按键后显示“0000”,设计中的时

钟脉冲频率为1000赫兹; 7、

附加:加入按键软件消抖功能及加减分数显示计分功能;能够

设置不同的抢答时间,以便应用于不同的抢答系统。

二、设计原理及方案

本次设计主要采用verilog HDL 语言,总体编程思路采用模块化设计方式,主要分为3个模块,一个主控制及按扭输入模块,一个LED 计时提示模块,一个抢答组号显示模块,分别对这3个子模块进行独立编程设计,并生成元件,并在顶层使用原理图的方式将3个模块连接起来完成整个设计。锁定管脚并编译通过,下载到试验箱进 行测试。

总设计框图:

LED1 LED2 LED3 LED4

8421码 8421码

CLK 开始 清零 选手1 选手2 选手3 选手4

抢答组号显示模块

LED 计时提示模块

主控制及按钮输入模块

解析:在上图框架中LED计时提示模块、抢答组号显示模块都为译码器,主控制及按钮输入模块实现具体功能。主控制及按钮输入模块主要功能为计时、显示、闪烁、分频。其先将需要输出的内容以8421BCD 码的形式输入给下一级,再通过LED计时提示模块和抢答组号显示模块的程序将8421BCD码译为对应的数据显示,最后和对应的共阳极数码显示管的管脚相连,显示最后的数据。

三、电路源文件

1、主控制与按钮输入模块

module zhukongzhi(clk,clear,start,p1,p2,p3,p4,answer1,answer2,q1,q2);

input clk,clear,start,p1,p2,p3,p4;

output[3:0] q1,q2

output [3:0] answer1,answer2;

wire[3:0] qq1, qq2;

wire co;

reg [3:0] answer1, answer2;reg st;reg cr;reg en;reg kp;reg [10:0] tm;reg temp;reg temp2;reg [3:0] temp3;reg [3:0]q1;reg [3:0]q2;reg flag;reg flag1;reg flag2;

initial

begin

flag2=1;st=1;cr=1;flag1=1;tm=0;temp=0;flag=0;kp=0;

answer1=4'b0000;answer2=4'b0000;

end

always@(negedge start or negedge clear)

begin

if(!start) begin st=0;cr=1;end

else if(!clear) begin st=1;cr=0;end

end

jishi2 cou(clk,cr,st,qq1,qq2,co,kp); always @(posedge clk )

begin

begin

if(st==1'b1)

begin

flag=1;

begin

if(!clear)

flag1=1;

end

begin

begin

if((p1==1'b0)&flag1)

begin

flag1=0;temp3=4'b0001;

end

else if((p2==1'b0)&flag1)

begin

flag1=0;temp3=4'b0010;

end

else if((p3==1'b0)&flag1)

begin

flag1=0;temp3=4'b0011;

end

else if((p4==1'b0)&flag1)

begin

flag1=0;temp3=4'b0100;

end

end

if(flag1==0)

if((tm>=0)&(tm<1000)&(temp))

begin

tm=tm+1; answer1=temp3;answer2=0;

end

else if((tm>=0)&(tm<1000)&(!temp))

begin

tm=tm+1; nswer1=4'b1010;answer2=4'b1010;

end

else if((tm==1000))

begin

tm=0;temp=~temp;

end

end

if(flag1)

begin

answer1=4'd0000; answer2=4'd0000;

end

end

end

end

begin

if((!start)&(flag2))

kp=1;

end

begin

if(!clear)

flag2=1;

end

begin

if(st==1'b0)

begin

if(p1&p2&p3&p4&flag)

begin

answer1=4'b1010;answer2=4'b1010;

end

else if((p1==1'b0)&flag)

begin

//禁止其他选手抢答

flag=1'b0;answer1=4'b0001;answer2=4'b0000;flag2=0;kp=0;

end

else if((p2==1'b0)&flag)

begin

flag=1'b0;answer1=4'b0010; answer2=4'b0000;flag2=0;kp=0;

end

else if((p3==1'b0)&flag)

begin

flag=1'b0;answer1=4'b0011;

answer2=4'b0000;flag2=0;kp=0;

end

else if((p4==1'b0)&flag)

begin

flag=1'b0; answer1=4'b0100;

answer2=4'b0000;flag2=0;kp=0;

end

end

end

end

begin

if(co&(!st))

if((tm>=0)&(tm<1000)&(temp))

begin

tm=tm+1;q1=0;q2=0;

end

else if((tm>=0)&(tm<1000)&(!temp))

begin

tm=tm+1;q1=4'b1010;q2=4'b1010;

end

else if((tm==1000))

begin

tm=0;temp=~temp;

end

answer1=4'b1010; answer2=4'b1010;

end

end

begin

if(!co)

begin

q1<=qq1;q2<=qq2;

end

end

if(!cr)

begin

flag=1'b1;kp=1;

end

end

Endmodule

2、LED计时提示模块:

module shijian(in,led1,led2);

input [3:0]in;

output led1,led2;

reg [7:0]led1,led2;

always@(in)

begin

case(in)

4'b0000:begin led1=8'b00000011;led2=8'b00000011; end

4'b0001:begin led1=8'b10011111;led2=8'b00000011; end

4'b0010:begin led1=8'b00100101;led2=8'b00000011; end

4'b0011:begin led1=8'b00001101;led2=8'b00000011; end

4'b0100:begin led1=8'b10011001;led2=8'b00000011; end

4'b0101:begin led1=8'b01001001;led2=8'b00000011; end

4'b0110:begin led1=8'b11000001;led2=8'b00000011; end

4'b0111:begin led1=8'b00011111;led2=8'b00000011; end

4'b1000:begin led1=8'b00000001;led2=8'b00000011; end

4'b1001:begin led1=8'b00011001;led2=8'b00000011; end

4'b1010:begin led1=8'b00000011;led2=8'b10011111; end

4'b1111:begin led1=8'b11111111;led2=8'b11111111; end endcase

end

endmodule

3、抢答组号显示模块:

module xianshi(decodeout,indec);

output[7:0] decodeout;

input[3:0] indec;

reg[7:0] decodeout;

always @(indec)

begin

case(indec)

4'b0000:decodeout=8'b00000011; 4'b0001:decodeout=8'b10011111;

4'b0010:decodeout=8'b00100101;4'b0011:decodeout=8'b00001101;

4'b0100:decodeout=8'b10011001; 4'b0101:decodeout=8'b01001001;

4'b0110:decodeout=8'b01000001;

4'b0111:decodeout=8'b00011111;

4'b1000:decodeout=8'b00000001;

4'b1001:decodeout=8'b00001001;

4'b1010:decodeout=8'b11111111;

default:decodeout=8'b11111111;

endcase

end

Endmodule

模块连接图:

管教分配表:1、设计中应用的管脚

信号管脚说明信号管脚说明CLK L2 时钟脉冲按键S4 B16 选手4

按键S1 F8 选手1 按键S5 F12 开始按键

按键S2 A14 选手2 按键S6 B17 清零按键

按键S3 F10 选手3 以下两行附加设计用到

按键S7 F15 加分按键0-1开关K1 E15 抢答时间选

择开关

按键S8 B18 减分按键0-1开关K2 B14

2、四个数码管的管脚分配如下:(ABCDEFG为七段LED,H为小数点,共阳极连接。

四、总结与讨论

通过这为期四天的数字电路模拟训练,我获益匪浅:

1、通过这次课程设计,我学会了并且可以比较熟练的使用QuartusII可编程逻辑器件开发软件。

2、我对“基于FPGA的4位智能抢答器”的运行原理有了更加深入的认识,可以自行设计这种抢答器,为我以后的实验设计积累了宝贵的经验。

3、我对Verilog HDL有了深入的认识。同时也对EDA/SOPC 产生了更加浓厚的兴趣。通过本学期对《数字电子技术基础》第六章的学习,以及这几天为了完成课程设计的任务而查阅的视频、文字资料,我已经可以比较熟练的运用Verilog HDL 语言进行简单程序的编写。掌握了这一门技术将对我以后的发展有很大的帮助。

4、通过这四天的实践,我的分析能力大大的提升,动手操作能力也有所提高,即使天气炎热,我也平心静气的做程序,搞测试,我知道了,无论做什么事,都要相信自己,戒骄戒躁,不会的东西就学会自己查资料,自学,实在不懂得再向老师同学请教,心态是最重要的。虽然到最后由于时间原因没有测试完,但是经历是最后重要的,这些经历将为我以后

带来莫大的好处。

但是,我这几天以来也存在很多不足,首先,一开始有点知难而退的心里,其次,有不少的地方还没完全弄明白,尤其是那个LED即时显示模块还没有完全搞懂,设计任务与要求中的第7条附加条件,即“加入按键软件消抖功能及加减分数显示计分功能;能够设置不同的抢答时间,以便应用于不同的抢答系统”这个功能不知道怎么实现。另外,对于在控制端口在满足上升沿计数达到1000时在“0”和“1”之间进行变换这个程序请教过同学,但是也是还没有完全搞懂。“分频”这一块还需之后自己在攻克。

这个课程设计在7月10号上午考完试已完成,从设计到测试和检测过程,无不凝聚着老师们的心血和汗水。在我实习期间,老师为我提供了种种专业知识上的指导,同学们为我提供宝贵的建议,老师们严谨求实的态度和同学们真诚的热情使我深受感动,没有这样的帮助和关怀和熏陶,我不会这么顺利的完成实训任务。

最后,我向老师跟同学们发出真诚的感谢,老师您辛苦了,谢谢您陪伴我们这五天,您的敬业是我们实习成功的最大动力。

多路智力抢答器实验报告

湖北经济学院 数字电子技术课程设计报告 课题名称:数字电子技术课程设计指导教师: 学生班级: 学生姓名: 学号: 学生院系: 2012年4月

设计任务 一、基本功能 1、设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,分别用八个抢答按钮So、S1、S 2、S 3、S 4、S 5、S 6、S7表示。 2、设置一个由主持人控制的控制开关,用来控制系统清零和抢答。 3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时蜂鸣器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 二、扩展功能 1、抢答器具有定时抢答的功能,抢答时间为30秒。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出声响,声响持续时间为0.5秒左右。 2、参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 3、如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。

设计报告 一、设计目的 1、学习数字电路中的优先编码器,锁存器,计数器,时序控制电路,多谐振荡器等单元电路的综合运用。 2、掌握各芯片的逻辑功能及使用方法。 3、了解面包板结构及其接线方法。 4、了解数字抢答器的组成及工作原理。 5、熟悉数字抢答器的设计与制作。 二、设计步骤 1、画出原理框; 2、根据原理框图,把框图中每个部分电路设计出来,画出电路图; 3、仿真调试; 4、搜集元器件; 5、搭建电路,实现功能。 三、具体设计过程 1、画出原理框图

人竞赛抢答器实验报告

数电实验报告 姓名:侯婉思 专业:通信工程 班级:1111 学号: 指导老师:田丽娜 四人竞赛抢答器实验报告 一.前言 现今,形式多样、功能完备的抢答器已广泛应用于电视台、商业机构、学校、企事业单位及社会团体组织中,它为各种知识竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活。 对于抢答器我们大家都知道那是用于选手做抢答题时用的,选手进行抢答,抢到题的选手来回答问题。抢答器不仅考验选手的反应速度同时也要求选手具备足够的知识面和一定的勇气。选手们都站在同一个起跑线上,体现了公平公正的原则。 本文介绍了一种用74系列常用集成电路设计的高分辨率的4路抢答器。该抢答器为全数字集成电路设计,具有分组数多、分辨率高等优点。该抢答器除具有基本的抢答功能外,还具有优先能力,定时及复位功能。主持人通过控制开关使抢答器达到复位的功能。 二.实验目的 1. 学习并掌握抢答器的工作原理及其设计方法 2. 熟悉各个芯片的功能及其各个管脚的接法。 3. 灵活运用学过的知识并将其加以巩固,发散思维,提高学生的动手能力和思维的缜密。 三.设计任务与要求 1、设计任务 设计一台可供4名选手参加比赛的竞赛抢答器。选手抢答时,数码显示选手组号。 2.设计要求: 抢答器的基本功能: 1.设计一个智力抢答器,可同时供四名选手或四个代表队参加比赛,编号为一,二,三,四,各用一个抢答按钮,分别用四个按钮S0——S3表示。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管清零)。 3.抢答器具有数据锁存和显示的功能,抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,此外,要封锁输入电路,实现优先锁存,禁止其他选手抢答,优先抢答选手的编号一直保持到主持人将系统清零为止。

课程设计四人抢答器实验报告

课程设计四人抢答器实验报告

课题:四人智力抢答器专业: 班级: 学号: 姓名: 指导教师: 设计日期: 成绩: 电气学院

四人智力抢答器设计报告 一、设计目的作用 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计要求 设计一台可供4名选手参加比赛的智力竞赛抢答器。当主持人说开始时,四人开始抢答,电路能判别出四路输入信号中哪一路是最先输入信号,并给出声、光、数码显示。 (1) 4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2) 给主持人设置一个控制按钮,用来控制系统清零和抢答的开始。 (3) 抢答器具有数据锁存的功能。抢答开始后,若有选手按动抢答按钮,该选手指示灯亮并立即锁存,同时扬声器给出音响提示,禁止其它选手抢答。抢答选手的指示灯一直保持到主持人将系统清零为止。 (4)选择B题的除了具有上述功能外,还要在声、光显示的同时,在数码管上显示选手的编号,编号一直保持到主持人将系统清零为止。 三、设计的具体实现 1、系统概述

电路主要由脉冲产生电路,锁存电路,编码及译码显示电路和音响产生电路。当有选手抢答时首先锁存,防止其它选手抢答,然后编码,再经4线7段译码器将数字显示到显示器上同时产生音响,电路结构系统如图: (1)以锁存其为中心的编码显示器 抢答信号的判断和锁存能够采用触发器或锁存器。若以四D触发器74LS175为中心构成编码锁存系统,编码的作用是把锁存器的输出转化为8421BCD码,进而送给7段显示译码器。其真值表为: 锁存器输出编码器输出 Q4 Q3 Q2 Q1 D C B A 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 1 0 0 0 0 1 1 1 0 0 0 0 1 0 0

四路抢答器课程设计报告

四 路 抢 答 器 设 计 实 验 报 告 信息科学技术学院自动化*班 ****

四路抢答器设计实验报告 一、设计任务: 1、巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能 力。 2、养成根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力。 3、通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、 调试和检测等环节,初步掌握简单实用电路的分析方法和工程设计方法。 4、学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行 数字电子电路实验的基本技能。 二、技术指标 抢答器是一种具有优先输出的电子电路。它的基本功能是,在四组参赛的情况下,首先抢答者发出抢答信号,此时其他参赛组的抢答电路即失去控制作用。在优先抢答者解除抢答信号后,电路才自动恢复到各组又可均等抢答的状态中。 1、设计一个可供4人进行的抢答器。 2、系统设置复位按钮,按动后,重新开始抢答。

3、抢答器开始时数码管无显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。抢答后显示优先抢答者序号,同时发出音响。并且不出现其他抢答者的序号,这样其它选手无法再抢答,达到抢答目的。 4、抢答器具有定时抢答功能,本抢答器的时间设定为10秒,当主持人启动“开始”开关后,定时器开始减计。 5、设定的抢答时间,选手可以抢答,这时定时器开始工作,显示器上显示选手 的和抢答时间。并保持到主持人按复位键。 6、当设定的时间一到,而无人抢答时,本题报废,选手们无法再抢答,同时扬 声器报警发出声音,定时器上显示0。 三、元件清单:

数字电路3人抢答器实验报告

《数字电路与逻辑课程设计》报告 (本科) 题目三人抢答器设计 专业网络工程 班级 1305022 学号 11 姓名牟黎明评定成绩 指导教师李小平、易兴兵 完成时间 2015年 6月1日----2015年6月5日 电子工程学院 二零一五年五月

一、实习目的: 1. 数字电子技术知识的综合应用,包含: (1)门电路的应用 (2)编码器的应用 (3)JK触发器的应用 (4)显示译码器的应用 (5)七段数码显示器的应用 2. 学习电路安装图的绘制方法。 3. 学习电路的调试方法。 二、实习设备及实验器件清单: 实验器件: 1.双下降沿JK型触发器74LS112 2个 2.三3输入与非门74LS10 2个 3.四2输入与非门74LS00 2个 4.4线-七段译码器/驱动器74LS48 1个 5.LED共阴极显示器AR547 1个 6.触发开关5个 7.10K电阻5个 8.1K电阻3个 9.铜导线若干 10.锡焊丝若干

实验工具: 1.电烙铁每组一个 2.剪刀每组一把 3.镊子每组一把 4.学生电源每两组一个 5.图纸每组一张

三、实习内容 1. 原理方框图 2. 电路原理图

3. 抢答流程图 四、阐述电路工作原理。 当主持人按动复位开关SW对前一次的记录进行清除,座位显示器显示“0”,进入抢答准备阶段,但此时选手无法抢答(抢答无效,信号被封锁)。 当主持人按动开关SW1时,进入抢答时段,锁存电路输出高电平作用于触发器1、2、3的JK端。抢答信号(K1、K2、K3)以负脉冲形式作用于JK触发器时钟端,最早抢入的输入信号使该电路触发器最先翻转,输出的抢答信号一路经门F4、F5以下降沿作用于锁存电路(JK 触发器,工作于置“0”状态)时钟端,输出低电平使三路JK触发器的工作状态由“翻转”变为“保持”,后续的抢答信号不能使其他触发器产生翻转。这样就封锁了后到的信号。输出的抢答信号同时以低电平驱动座位提示灯。 三路JK触发器输出的组合信号经门电路F1、F2、F3、F6、F7、组成的识别电路,驱动座位显示电路,以数字显示的方式显示抢答成功选手座位号。 五、三人抢答器安装图绘图纸的设计。

抢答器实验报告要点

扬州大学能源与动力工程学院本科生课程设计 题目:四人智力竞赛抢答器 课程:数字电子技术基础 专业:电气工程及其自动化 班级:电气0901 学号:091302111 姓名:冯承超 指导教师:年漪蓓蒋步军 完成日期: 2011年6月24日

总目录第一部分:任务书 第二部分:课程设计报告 第三部分:设计图纸

第一部分 任 务 书

《数字电子技术基础》课程设计任务书 一、课程设计的目的 1、使学生进一步掌握数字电子技术的理论知识,培养学生工程设计能力和综合分析问题、解决问题的能力; 2、使学生基本掌握常用电子电路的一般设计方法,提高电子电路的设计实验能力; 3、熟悉并学会选用电子元器件,为以后从事生产和科研工作打下一定的基础。 二、课程设计的要求 1、设计时要综合考虑实用、经济并满足性能指标要求; 2、必须独立完成设计课题; 3、合理选用元器件; 4、按时完成设计任务并提交设计报告。 三、课程设计进度安排 1、方案设计;(半天) 2、电路设计:(一天) 3、装配图设计:(半天) 4、电路制作:(两天) 5、总结鉴定:(一天) 四、设计要求 1用中小型规模集成电路设计出所要求的电路; 2、在实验箱上安装、调试出所设计的电路。 3、部分课题要求用可编程逻辑器件(FPGA/CPLD)设计实现; 4、在EDA编程实验系统上完成硬件系统的功能仿真。 5、写出设计、调试、总结报告。 五、使用仪器设备 1、稳压电源(±5V,±15V); 2、实验电路箱; 3、低频信号发生器; 4、示波器。 六、设计总结报告主要内容 1、任务及要求; 2、方案特点; 3、各组成部分及工作原理(应结合框图写); 4、单元电路设计与调试; 5、总逻辑图; 6、总装配图。

八路智力竞赛抢答器设计实验报告

数字电子技术课程设计 题目: 八路智力竞赛抢答器设计 姓名: 专业: 电子科学与技术 班级: 122班

学号: 指导教师: 20 年月日 安徽科技学院理学院

八路智力竞赛抢答器设计 一、课程设计题目(与实习目的) (一)、题目:八路智力竞赛抢答器设计 (二)、实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 二、任务和要求 实现抢答器的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成抢答器系统。 (1)抢答器设计要求 设计一个抢答器,基本要求: 1. 抢答器可以实现基本抢答;可同时供8名选手或8个代表队参加比赛,他们 的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与 选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭 灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 三、总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:该方案是将抢答按钮先直接与锁存器而不是优先编码器相连,将最先抢答的选手的编号锁定,再依次经过优先编码器、译码器和七段显示器,最后显示的是抢答选手的编号,经过优先编码器后的信号到单稳态触发器,单稳态触发器又与报警电路直接连接,所以显示编号的同时可以发出报警信号。另外由主持人控制开关和其他部分电路通过门电路实现对抢答电路、定时电路和报警部分电路的控制。 主体框图如下:

4人抢答器电路设计方案

电工学实验A(下)实验报告 4人竞赛抢答器的设计 学院名称:材料科学与工程 班级:10级4班 姓名:XXX 学号:1810004XX 成绩: 2013 年 1 月 12 日

目录 第一篇实验设计任务介绍 (3) 1.1设计题目 (3) 1.2实验目的 (3) 1.3实验内容 (3) 1.4实验要求 (4) 第二篇设计背景 (5) 第三篇设计原理 (5) 3.1抢答器的主要功能简介 (5) 3.2抢答器工作原理 (7) 3.2.1 74LS175原理介绍 (7) 3.2.2 74LS00原理介绍 (9) 3.2.3.555原理介绍 (11) 第四篇设计电路图介绍 (14) 4.1电路设计图 (14) 4.2.元件清单 (15) 第五篇心得体会 (15)

第一篇实验设计任务介绍 1.1设计题目 数控增益放大器的设计 1.2实验目的 1、掌握面包板搭接电路技术; 2、学习调试系统电路,提高实验技能; 3、了解竞赛抢答器的工作原理及其结构。 1.3实验内容 1、设计任务: 设计制作一个可容纳四组参赛的数字式抢答器。 2、设计要求: (1)每组设置一个抢答按钮,供抢答者使用; (2)电路具有第一抢答信号的鉴别和锁存功能; (3)在主持人将系统复位并发出抢答指令后,若有参赛者按抢答开关,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别; (4)同时电路应具备自锁功能,使别组的抢答开关不起作用; (5)要求电路主要选用中规模TTL或CMO S集成电路。 3、设计要点: (1)抢答控制器:竞赛抢答器的核心,当任意一位参赛者按下开关时,抢答控制器立刻接受该信号,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别。 与此同时,封锁其他参赛者的输入信号,这就要求抢答器的分辨能力高(CP

四人抢答器实验报告

福州大学电气工程与自动化10级 设计性实验报告 实验目的: 1、掌握电路板焊接技术; 2、学习调试系统电路,提高实验技能; 3、了解竞赛抢答器的工作原理及其结构。 实验所用原件清单: 芯片:74LS175,74LS192,74LS48x2,74LS00,74LS20x2,555,电阻:

R1=1K,R2=10K,电容:C=0.1μF,七段共阴极数码管x2 原理(包括主要公式、电路图): 如下图所示为四人抢答电路,电路中的主要器件是74LS175型四上升沿D触发器、74LS192可逆十进制计数器和两个译码显示电路。 抢答前先用RD’清零,Q1~Q4均为0,相应的选手编号数码管显示0;Q1’~Q4’均为1,G1输出0,G2输出1,CP1可经过G3输入到74LS175。同时,倒计时数码管被置为9。抢答开始,RD’置1,倒计时开始。若S1首先按下,则D1和Q1均变为1,相应的选手编号数码管显示1(以此类推);555芯片的4脚接收到高电平,发生振荡,导致喇叭发出声音;同时,G2输出为0,使得175和192芯片不接收脉冲,175芯片进入自锁状态,此时再按S1~S4无效果,而192芯片也停止计时,倒计时数码管保持抢答时的数字不变。若倒计时到0,S1~S4均未按下,则倒计时停止,倒计时数码管保持0;175芯片进入自锁状态。 利用RD’清零,进入下一次抢答。

心得体会及其他: 1、本次设计性实验令我受益匪浅:在设计的过程中,对于各个芯片管脚功能和四路抢答电路原理的学习让我得到了更多知识;对电路板的元件布局锻炼了我的思维能力;在焊接过程中,我又一次提高了我的焊接技术和排查短路、虚焊的能力;在调试过程中,我懂得了分功能、局部进行故障排查,并取得良好效果。 2、故障排查: (1)、电路板电源与地线短路:可逐个对接电源、地的点进行排查(排查时应把它们和响应的电源或地断开)。 (2)、数码管个别段不能发光:怀疑为数码管管脚之间短路,可用电烙铁肃清两脚之间的间隙。 (3)、抢答功能不能实现:抢答功能局部电路接错或虚焊,可用

智力抢答器实验报告

单片机课程设计 实 验 报 告 班级:通信工程13-2班 学号:2013211576 姓名:陈立 指导老师:王琼 2016年6月20日~2016年7月4日

合肥工业大学课程设计任务书

设计课题智力竞赛抢答器 一课题背景 随着科学技术的不断发展,促使人们学科学、学技术、学知识的手段多种多样。抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。目前大多数抢答器均使用单片机及外围接口实现,基于单片机的智力竞赛抢答器系统框图如下图所示。 抢答开关电路分别设定选手抢答键若干个、主持人开始抢答键和复位键,每位选手对应一个抢答指示灯。系统工作后,首先由主持人按下开始键,单片机进入30秒倒计时,选手们开始抢答,如果在30秒内无人抢答,则自动放弃,进入下一题;若有人抢答,则单片机自动检测最先抢答选手信息并做出处理,封锁输入电路,禁止其他选手抢答,使选手对应的抢答指示灯点亮,LED显示器上同步显示抢答选手的编号,同时扬声器发声提示,表示抢答成功。此后,单片机进入90秒回答问题倒计时,若选手在90秒内回答完问题并回答正确,主持人按加分键,LED显示器上显示选手的得分;若选手回答完问题超时或回答错误,则主持人按减分键,LED显示器上显示选手的得分。主持人按下复位键,系统返回到抢答状态,进行下一轮抢答。 在主持人按下开始抢答键前,有选手提前按下抢答键时,视为抢答犯规,系统红色报警灯点亮,LED显示器显示超前抢答报警信息,同时扬声器发声提示抢答犯规。当有几位选手同时按下抢答键时,由于在时间上必定存在先后,系统将

自动锁存最先按键选手信号。 二课题设计要求 (1)基本要求 本课题硬件部分要求画出系统模块连接图,在实验平台上设计组成智力竞赛抢答器系统,并在其上调试自己设计、编制的程序,直到正确、完善达到要求为止。在软件程序设计方面,要完成以下基本内容:设计编写系统各模块的软件程序并调试通过,画出各程序模块的流程图。实现数据(选手编号)锁存和显示、超前抢答报警、抢答时间和回答问题时间倒记时显示、扬声器发生提示等基本功能。 (2)附加要求 附加要求则是根据学生学习单片机掌握和运用的情况选作,这给同学们开动脑筋发挥自己的创造性思维留出了空间。系统还可以增加以下功能: ①支持人可根据题目难易程度设置抢答限时时间、答题限时时间,而不是采用固定的抢答限时时间和答题限时时间。抢答限时时间和回答问题的时间设定在1~99秒内,通过键盘进行加减。 ②抢答限时倒计时和答题限时倒计时在达到最后5秒时进行声光报警,提示选手抢答剩余时间答题剩余时间。扬声器每秒响一次,红色发光二极管闪烁点亮,频率为0.5Hz。 ③抢答倒计时到达0时,报警,并锁定抢答开关禁止选手抢答。 ④增加计分功能,当答题结束后,根据选手的答题情况给选手进行相应的加减分;查询功能,实现每位选手的分值查询。 本次课题设计完成以上全部基本要求和附加要求,符合单片机课程设计的基本要求,并在此基础上额外实现了按键时响铃提示功能,具体要求实现以及操作见报告后半部分。

智能抢答器实验报告

电子科技大学 实 验 报 告 学生姓名: 学号: 指导教师: 日期:

一、实验室名称: 二、实验项目名称: 智能抢答器设计 三、实验原理: 4人抢答器可同时供4位选手参加比赛,分别用player1 player2 player3 player4表示,节目主持人设置一个复位按键clear,用于控制系统的清零.抢答器具有锁存和显示功能,能够显示哪位选手获得抢答,并能显示具体选手的号码,同时屏蔽别的选手,再按选择信号.在选手回答问题时在规定时间到达发出报警主持人按键清零,一次抢答结束. 在本设计中,共4位选手,即4个输入信号,考虑到优先原则,所以引用一个状态变量,当这个标志为”1”的时候,说明有选手已经抢答,则对其他选手输入位信号进行屏蔽,然后锁存这个选手的编号并显示.采用两个数码管显示,计数采用BCD码输出. 四、实验目的: ?学习QUARTUSⅡ软件的使用方法 ?学习VHDL或VerilogHDL语言 ?学会用VHDL或VerilogHDL语言进行简单的编程

五、实验内容: 完成智能抢答器实验程序的编写,并进行仿真后分析验证 六、实验器材(设备、元器件): PC机,QUARTUSⅡ软件 七、实验步骤: ?熟悉QUARTUSⅡ软件(以简单实例) ?用VHDL开发FPGA的完整流程.继续掌握QUARTUSⅡ软件使用方法. ?设计出抢答器程序进行调试, ?用QUARTUSⅡ进行编译,综合及仿真. 八、实验数据及结果分析: 设计程序如下: module qdq(player1,player2,player3,player4,res,clk,q1,q2,q3,q4,row,ra,Q1,beep); input player1,player2,player3,player4; input res,clk; output[6:0]row,ra; output[3:0]Q1; output q1,q2,q3,q4,beep; reg q1,q2,q3,q4,beep; reg[6:0]row,ra; reg clk1; reg[3:0]Q1; reg[3:0]y; reg[6:0]i;

路抢答器实验报告

系别:电子工程系 班级:电子101 学号:23 姓名:李光杰 指导老师:佘明辉2011年6月23日星期四

八路智力竞赛抢答器设计 一.实验目的 掌握抢答器的工作原理及其设计方法。 学会用Multisim8软件操作实验内容。 掌握设计性试验的实验方法 二.实验要求 八路智力竞赛抢答器功能要求: 基本功能: 1.设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 扩展功能: 1.抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定。当节目支持人按下“开始”按钮后,要求定时器立即倒计时,并在显示器上显示。 2.参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 3.如果定时抢答的时间已到,却没有选手抢答,则本次抢答无效,系统封锁输入电路,禁止选手超时后抢答,时间显示器上显示00. 三.实验原理 根据对功能要求的简要分析,将定时抢答器电路分为主题电路和扩展电路两部分。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答器按钮

CD4511-NE555八路抢答器实验报告1

电子课程设计报告4511型八路数显抢答器 学生姓名: 专业:电气自动化技术 班级:10电气一班 学号: 指导教师: 同组成员: 时间:2011年11月15号至2011 年11月25

第一章绪论 1.1关于4511型数显抢答器 八路智能抢答器主要由数字优先编码电路、锁存/译码/驱动电路于一体的CD4511集成电路、数码显示电路和报警电路组成。优先编码电路、C D4511集成电路将参赛队的输入信号在数码显示管上输出,用报警电路对时间进行严格控制,这样就构成了八路智能抢答器电路。 八路数字抢答器电路包括抢答,编码,优先,锁存,数显,复位及抢答键。抢答器数字优先编码电路由D1-D12组成,实现数字的编码。CD4511是一块含BCD-7段锁存/译码/驱动电路于一体的集成电路。抢答器报警电路由NE555接成音多谐振荡器构成。抢答器数码显示电路由数码管组成,输入的BCD码自动地由 CD4511内部电路译码成十进制数在数码管上显示。 1.2 选题的目的和意义 通过这次课程设计,让我了解到了八路智能抢答器的结构组成和工作原理,同时了解焊接的方法和技巧。 1.3 课题研究的内容 八路智能抢答器是采用了CD4511集成芯片来实现功能要求的,在抢答过程中,每个选手都有一个抢答按钮。在主持人按下复位键宣布抢答开始的时候,选手就开始进行抢答,在指定时间内选手进行抢答,数码显示屏上会显示最先抢答选手的编号。如果主持人没有按下开始键而选手就抢答视为犯规,数码显示屏显示犯规者的编号,扬声器持续发生。主持人可按复位键,新一轮抢答开始。

第2章抢答器的系统概述 2.1 系统的主要功能简介 4511型八路数显抢答器的主要功能有如下三点: 1. 可同时供8名选手参加比赛,其相应的编码分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应。 2.给主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号。 2.2 抢答器的工作过程 1、开始上电之后,主持人按复位键,抢答开始。如有选手按下抢答键,报警电路会发出讯响声,并且数码显示电路上会显示成功抢答的选手的编号。 2、当有选手抢答成功之后,系统就进行了优先锁存,其他抢答选手抢答无效。 3、如果主持人未按下复位键,而有人按了抢答按键,此次抢答无效,只有当主持人按下了复位键,选手才能进行顺利抢答。 总而言之,本课题利用简单逻辑数字电路设计了智能抢答器,该抢答器具有基本的强大功能,提高了系统的可靠性、简化了电路结构、节约了成本,但是此抢答器功能还不够强大,还有很多功能无法实现,需要我们继续学习和研究。

路智力竞赛抢答器设计实验报告

数字电子技术课程设计 题目:八路智力竞赛抢答器设计 姓名: 专业:电子科学与技术 班级: 122班

学号: 指导教师: 20 年月日 安徽科技学院理学院

八路智力竞赛抢答器设计 一、课程设计题目(与实习目的) (一)、题目:八路智力竞赛抢答器设计 (二)、实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 二、任务和要求 实现抢答器的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成抢答器系统。 (1)抢答器设计要求 设计一个抢答器,基本要求: 1. 抢答器可以实现基本抢答;可同时供8名选手或8个代表队参加比赛,他们 的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与 选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭 灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 三、总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:该方案是将抢答按钮先直接与锁存器而不是优先编码器相连,将最先抢答的选手的编号锁定,再依次经过优先编码器、译码器和七段显示器,最后显示的是抢答选手的编号,经过优先编码器后的信号到单稳态触发器,单稳态触发器又与报警电路直接连接,所以显示编号的同时可以发出报警信号。另外由主持人控制开关和其他部分电路通过门电路实现对抢答电路、定时电路和报警部分电路的控制。 主体框图如下:

CD4511 NE555八路抢答器实验报告

实验设计任务书 课题名称: 数字抢答器 学生姓名 专业 班级 时间2010.10~2011.4月 指导教师 电子信息与自动化工程系

目录 摘要............................................. 错误!未定义书签。第1章绪论..................................... 错误!未定义书签。 1.1课题研究的相关背景 (1) 1.2选题的目的和意义 (1) 1.3课题研究的内容 (2) 1.4国内外研究现状 (2) 1.5抢答器目前存在的主要问题 (3) 第2章抢答器的系统概述 (4) 2.1抢答器的系统功能简介 (4) 2.2抢答器的工作原理简介 (4) 2.3抢答器系统的需求分析 (5) 2.4抢答器的工作流程 (5) 2.5抢答器的工作过程 (6) 第3章抢答器的单元电路设计 (7) 3.1抢答器设计中的数字编码电路 (7) 3.2抢答器设计中的译码/优先/锁存电路 (9) 3.3抢答器设计中的数码显示电路 (13) 3.4抢答器设计中的报警电路 (15) 第4章抢答器的电路设计图 (18) 4.1抢答器工作原理电路图 (18) 4.2抢答器PCB板电路图 (19) 4.3抢答器实物电路图 (20) 第5章总结与展望 (21) 致辞 (22) 参考文献 (23)

摘要 摘要 随着科技的日新月异,促使人们开始学科学、学技术、学知识。抢答器是一个机关学校开展智力竞赛活动必不可少的设备,广泛应用于学校、教育部门、企事业工会组织、俱乐部等单位组织举办各种知识、技术竞赛及文娱活动时作抢答使用。 八路数字抢答器电路包括抢答,编码,优先,锁存,数显,复位及报警电路。抢答器数字优先编码电路由D1-D12组成,实现数字的编码。CD4511是一块含BCD-7段锁存/译码/驱动电路于一体的集成电路。抢答器报警电路由NE555接成音多谐振荡器构成。抢答器数码显示电路由数码管组成,输入的BCD码自动地由 CD4511内部电路译码成十进制数在数码管上显示。经过布线、焊接、调试等工作的八路数字智能抢答器成形,更具有实用性。 关键字:CD4511 数码显示电路报警电路数字编码电路

【VIP专享】智能抢答器数电实验报告

目录 1、设计目的 (3) 2、技术指标 (3) (1)基本功能 (3) (2)增加功能 (3) 3、给定条件及元器件 (3) 4、设计思路 (3) 5、电路框图 (3) (1)方案介绍 (3) (2)流程图 (4) 六、单元电路设计 (4) (1)倒计时电路的设计 (4) (2)数码管显示电路的设计 (6) (3)锁存器电路的设计 (6) (4)编码器电路的设计 (7) (5)蜂鸣器电路 (9) (6)时钟电路 (10) 七、整体电路 (11) 八、问题及解决 (11)

九、心得体会 (12) 十、参考文献 (12) 一、设计目的: 1、掌握对数字逻辑电路的设计与分析; 2、掌握对学过的常见的数字芯片的应用; 3、掌握集成电路的测试方法各种工作原理; 4、通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、调试和检测等环节,初步掌握简单实用电路的分析方法和工程设计方法。 5、培养根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力。 二、技术指标 (1)基本功能 在主持人宣布下,四组参与抢答。 当有抢答这首先按下抢答开关时,相应显示灯亮并伴有声响,并且显示其组号。同时,抢答器不再接收其它组的抢答干扰。 电路具有时间控制功能。要求再限定时间10秒(30秒)后无人抢答时,该 题作废,用声响提示。 (2)增加功能 无人抢答时,可以显示顺计时(或倒计时)的时间 三、给定条件及元器件 要求电路主要选用中规模TTL集成电路74系列(不能用专用集成电路)。 本设计要求在数字电路实验箱上完成;电源电压5V。 使用芯片:74LS112、74LS148、74LS192、74LS123、555定时器, 74LS20、74LS00、74LS04、74LS32、4002、74LS02 四、设计思路 1. 复位和抢答开关输入防抖电路,可采用触发器电路来完成。 2. 判别选组实现的方法可以用触发器和组合电路完成,也可用一些特殊器件组成。例如锁存器电路采用以74ls112的双下降沿J-K触发器为主的系统。 3. 计数显示电路可用8421码拨码开关译码电路显示。数码管则采用试验箱上集成的BCD码型数码管来显示,即对应“8421”编码来显示经过74ls148 优先编码后的数字。 4.蜂鸣器电路主要利用计时电路和抢答电路的封锁的状态信号,再通过一些

八路抢答器实验报告

八路抢答器实验报告-标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

八路智力竞赛抢答器设计 一.实验目的 掌握抢答器的工作原理及其设计方法。 学会用Multisim8软件操作实验内容。 掌握设计性试验的实验方法 二.实验要求 八路智力竞赛抢答器功能要求: 基本功能: 1.设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是0、1、2、3、4、5、6、7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 扩展功能: 1.抢答器具有定时抢答的功能。当节目支持人按下“开始”按钮后,要求定时器立即倒计时,并在显示器上显示。 2.参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 3.如果定时抢答的时间已到,却没有选手抢答,则本次抢答无效,系统封锁输入电路,禁止选手超时后抢答,时间显示器上显示00. 三.实验原理

根据对功能要求的简要分析,将定时抢答器电路分为主题电路和扩展电路两部分。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答器按钮时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。扩展电路完成定时抢答及报警功能。 比赛开始时,接通电源,节目主持人将开关置于“清零”位置,抢答器处于禁止工作状态,编号显示器灭灯,定时显示器上显示设定时间。当节目主持人宣布“抢答开始”,同时将控制开关拨到“开始”位置,抢答器处于工作状态,定时器开始倒计时。若定时时间到,却没有选手抢答时,系统报警,并封锁输入电路,禁止选手超时后抢答。若选手在定时时间内按动抢答按钮时,抢答器要完成以下四项工作:1.优先编码器电路立即分辨出抢答者的编号,并由锁存器进行锁存,然后由译码显示电路显示编号; 2.扬声器发出短暂声响,提醒节目主持人注意; 3.控制电路要对输入编码电路进行封锁,避免其他选手再次进行抢答; 4.控制电路要使定时器停止工作,时间显示器上显示剩余的抢答时间,并保持到主持人将系统清零为止。当选手将问题回答完毕时,主持人操作控制开 关,使系统回复到禁止工作状态,以便进行下一轮抢答。 上述方案所示抢答器的工作过程:主持人按动开始抢答的开关后,最先抢答的选手的电平信号先经过优先编码器,再依次经过数据锁存器,此时已经限制了其他选手的抢答,信号再经过译码器和七段数码显示器,将最先抢答的该

竞赛抢答器实验报告

竞赛抢答器实验设计报告学院:电子信息工程学院 专业:轨道交通信号与控制 班级:自动化1302 姓名:刘佳丽 13212153 安若琳 13212144 刘琛 13212155 时间: 2015/12/26

一、实验目的 竞赛抢答器是一个综合性的自主设计实验,所有知识涵盖本课程中的并行接口芯片、中断控制器、定时计数器、DOS功能调用等。通过此实验可以理解微机系统中各种接口电路的配合使用,掌握软件硬件协同工作原理,熟悉微机原理与接口技术的综合应用。最终达到如下实验目的: (1)熟悉竞赛抢答器的基本工作原理; (2)理解数码管的显示工作原理和共阴极、共阳极数码管显示的编码方法,特别是数码管中 LED 的驱动问题; (3)掌握并行接口 8255、中断控制器、定时计数器、DOS 功能调用的综合应用。 二、实验内容 本实验为利用本课堂所学知识自主设计一个“竞赛抢答器”,并在微机原理与接口技术实验平台实现其基本功能。利用逻辑开关 K0~K7 代表竞赛抢答按钮 0~7 号,当某个逻辑电平开关置高电平“1”时,相当某组抢答按钮按下,程序通过判断找出最先按下的那组,并在七段数码管上将其组号(0~7)显示出来,并使喇叭“嘀”响一下。按空格键开始下一轮抢答,按其它键程序退出。 附加要求(选做): (1)能判断犯规操作:即抢答未开始提前按键的; (2)能根据按键按下时间排序,并给出各个按键按下的时间间隔,已作为冲突冲裁依据。 三、实验提示 1.硬件接线部分。 图 1为竞赛抢答器(模拟)的原理图,利用实验箱上已有的总线驱动器74LS244 驱动 LED共阴极数码管。

图 1 竞赛抢答器(模拟)的实验原理图 2. 软件编程提示 响铃的 DOS 功能调用: MOV DL, 7 ; 响铃 ASCII 码为 07 MOV AH ,2 INT 21H 四、实验设计 1.基本思路 设置8255为C口输入、A口输出,读取C口数据,若为0表示无人抢答,若不为0则有人抢答。根据读取数据可判断其组号。从键盘上按空格键开始下一轮抢答,按其它键程序退出。 2.实验流程图

PLC四组抢答器和装配流水线实验报告

装配流水线的模拟控制 一、实验目的 1、用 PLC构成装配流水线的控制系统。 2、了解移位寄存器指令在控制系统中的应用及编程方法。 二、实验内容 实验箱上框中的 A~H 表示动作输出(用 LED 发光二极管模拟),下框中的A、B、C、D、E、F、G、H 插孔分别接主机的输出点。传送带共有十六个工位,工件从 1 号位装入,分别在 A(操作 1)、B(操作 2)、C(操作 3)三个工位完成三种装配操作,经最后一个工位后送入仓库;其它工位均用于传送工件。 四、实验控制要求 1、启动按钮SB1、复位按钮 SB 2、移位按钮 SB3 均为常OFF。 2、启动后,再按“移位”后,按以下规律显示:D→E→F→G→A→D→E→F →G→B→D→E→F→G→C→D→E→F→G→H→D→E→F→G→A……循环,D、E、F、 G 分别用来传送的,A 是操作 1,B 是操作 2,C 是操作3,H 是仓库。 3、时间间隔为 1S。 五、实验步骤 方法一: 1、连线 ①按照以上的I/O分配表连接好主机上的输入输出点。 ②输出端 1L、2L、3L插孔均连到外接电源的 COM插孔。 ③输入端 1M 插孔连到外接电源的COM插孔。 ④实验区的+24V插孔连到外接电源的+24V插孔。 2、程序中用到的主要指令

定时器TON,移位寄存器SHRB。 3、输入程序代码并对其解释说明(梯形图) 注:说明中【】内数字代表网络号,如【1】代表网络1。 说明:按下启动按钮SB1→线圈【1】得电闭合→【2】置位→【3】得电并保持。此时,按下移位按钮SB3,使【3】得电闭合→【3】置位。 复位说明:按下复位按钮SB2,【2】→【2】复位,即保持为失电。 说明:移位按钮SB3按下后,【4】得电闭合→【4】置位→【4】得电并保持→启动定时器T37【5】,开始定时,1秒时T37【6】闭合→【6】得电→【5】失电,定时器T37【5】复位→【6】失电→【5】得电,再次启动定时器T37【5】,1秒时T37【6】闭合→【6】得电→【5】失电……一直循环,也就是【5】和【6】构成了一个1秒钟自复位定时器,每1秒输出一个持续时间位1个扫描周期的时钟脉冲,即T37【5】每1秒闭合1个扫描周期→【6】每1秒闭合1个扫描周期。

八路抢答器实验报告

课程设计报告 课程名称:电子技术课程设计 设计题目:八路抢答器 专业:自动化 班级:二班学号: 学生: 时间:2016年10月24日~11月25日 ―――――――以下指导教师填写―――――分项成绩:出勤成品答辩及考核 总成绩:总分成绩 指导教师:

课程设计报告要求和成绩评定 1 报告基本容 前言,目录,任务书,正文,参考文献。 2 书写用纸 A4复印纸。 3 书写要求 主要部分手工双面或单面书写(计算机绘图等指定容可打印),字迹清楚,每页20行左右,每行30字左右,排列整齐;页码居中写在页面下方;纸面上下左右4侧边距均为2厘米。 前言和目录合写作为第一页;参考文献接正文书写,不另起页。 公式单占一行居中书写;插图要有图号和图题,图号和图题书写在插图下方;表格要有表号和表题,表号和表题在表格上方书写;物理量单位和符号、参考文献引用和书写以及图纸绘制要符合有关标准规定;有关细节可参考我院《毕业设计成品规》。 4 装订 装订顺序:封面,前言和目录,任务书,正文及参考文献,图纸,封底;左边为装订边,三钉装订,中间钉反向装订。 5 成绩评定 课程设计成绩由出勤(10分)、报告书写规性及成品[注]质量(30分)、答辩及考核(60分)三部分成绩合成后折合为优秀(90-100分)、良好(80-89分)、中(70-79分)、及格(60-69分)或不及格(60分以下)。 注:成品含义由课程设计任务书规定,除课程设计报告外,还可以包括图纸、计算机程序、制作品、实验或测试方案等。

大学电气工程学院 电子课程设计前言 电子课程设计是学生在修完“数字电子技术基础”、“模拟电子技术基础”和“电子技术基础实验”后开设的设计课程。目的是锻炼学生综合运用电子技术基础知识以及动手的能力,提高学生使用中规模集成芯片以及调试较大型电子系统的能力,同时了解基本逻辑单元电路在实际生活中的应用,为今后进行复杂的综合型电子系统的设计和调试打下基础。 通过课程设计,使学生加强对数字及模拟电子技术的理解,学会查阅资料、方案比较、方案选择以及原理图设计、计算、制作、调试等基本技能,增强分析、解决实际问题的能力。 目录 1、任务书 (2) 1.1 电子课程设计任务及要求 (2) 2、正文及参考文献 (4) 2.1设计指标 (4) 2.2设计思想及设计框图 (4) 2.3元件参数、型号及逻辑功能表 (6) 2.4电路仿真图及原理分析 (11) 2.4.1功能电路 (11) 2.4.2控制电路 (11) 2.5安装调试 (14) 2.6参考文献 (14)

相关文档
相关文档 最新文档