文档库 最新最全的文档下载
当前位置:文档库 › Eclipse中文教程-2

Eclipse中文教程-2

4.5 Java实时运算簿页面(Java Scrapbook Page)

写程序时可能会些其它的想法,但不知是否可行:多数情况是直接写到程序再来debug,或是另外写各小程序。Eclipse提供一种轻巧的替代方式,Java实时运算簿页面(Java Scrapbook Page),藉由渐进式编译器,可以在实时运算簿写入任意的Java程序代码并执行,不需另写在类别或方法中。

I. 切换至Java视景

II. 「File」→「New」→「Other...」→「Java」→「Scrapbook Page」(在专案上按右键,「New」→「Other...」→「Java」→「Scrapbook Page」)

图4.11

III. 选择要存放的地方

IV. 输入档名

IV. 按下Finish

III

IV

V

图4.12

在「Package Explorer」或是「Navigator」视图会显示刚刚建立的JackyTest.jpage档案。

图4.13

可以输入要测试的Java程序代码,例如for (int i = 0; i < 5; i++) {

System.out.println(Integer.toString(i));

}

I. 将这段程序代码反白

II. 在这段程序上按右键,选择Execute III. Consol视图会显示执行的结果

图4.14

若需要汇入套件;

I. 在编辑器窗口内按右键,选Set Import...

图4.15

II. 在Java Snippet Imports窗口中,按Add Packages的按钮

图4.16

III. 在Add Packages as Imports的窗口中,挑选要import的package

图4.17

IV. 按OK

4.6自订开发环境

4.6.1程序代码格式

在「Window」→「Preferences」→「Java」→「Code Style」→「Code Formatter」

图4.18

按Show的按钮,出现Show Profile的窗口,里面的各个页签,可以设定Java Code Style

图4.19

设定完成后,可以Export成一个档案;以利下次设定Java Code Style时,可以利用Import的方式,产生一致的程序风格。

4.6.2程序代码产生模板

在开发Java时,可以把常用的流程控制建构式或是常用到的API,建立成一个模板,可以加速程序开发。接下来以System.out.println()为例子,来说明如何建立模板:

I. 「Window」→「Preferences」→「Java」→「Editor」→「Templates」

图4.20

II. 在Preferences窗口按New的按钮

图4.21

III. 在Name 的字段输入自己想要的名称 IV. Context 选java

V. 在Description 的字段输入简短的说明

VI. 在Pattern 的字段输入System.out.println("")后;把光标移到两

个双引号的中间,再按下面Insert Variable 的按钮,选择cursor VII. 再按两次OK

这里的${cursor}变量代表插入模板的程序代码后,光标所在的位置。

使用此新模板,打s(或是sop)再按Alt - /,从清单中选sop ,再按Enter 即可。

III V VI

IV

VI

图4.22

4.6.3 Javadoc批注

编辑新增类别后出现的文字。移除” To change the template for this generated...”这段前置文字,并自行扩充Javadoc批注。

I. 「Window」→「Preferences」→「Java」→「Code Style」→

「Code Templates」

II. 选右边画面的「Code」→「New Java files」,按Edit按钮

图4.23

III. 修改成需要的格式

图4.24

IV. 按OK

除了New Java file的模板外,还需要修改另一个模版-类型批注(Typecomment)。

I. 「Window」→「Preferences」→「Java」→「Code Style」→

「Code Templates」

II. 选右边画面的「Comments」→「Types」,按Edit按钮

图4.25

III. 修改成需要的格式

图4.26

IV. 按OK

往后新增的类别档案,就会套用现在批注。

图4.27

Javadoc也可以产生模板,做法跟4.6.2程序代码产生模板类似,差别在于Context改选javadoc。

图4.28

在程序批注的地方,打eic再按Alt - /,就可以出现清单可以选择。

图4.29

4.7产生getter 与setter

Java 编辑器可以为编译单元内的类型字段,产生存取元(accessors,也就是getter和setter的method)。

I. 「Source」→「Generate Getter and Setter...」

(或是在Java编辑器按右键,「Source」→「Generate Getter and Setter...」)

II

III

IV

V

VI

图4.30

II. 挑选哪些需要建立getter和setter的method

III. 选择method要建立的地方

IV. 排序的方式

V. 选择Access modifier

VI. 选择是否需要建立批注

VII. 按OK

图4.31

4.8建立JAR 档案

4.8.1建立新的JAR 档案

如果要在工作台中建立新JAR 档,请执行下列动作:

I. 在「Package Explorer」中,可以选择性地预选一或多个要汇出

的Java 元素。(在步骤IV中,这些会在JAR Package

Specification精灵页面中自动选出。)

II. 从快速菜单或从菜单列的File菜单,选取Export。

III. 选取JAR file,然后按一下Next。

图4.32

IV. 在JAR Package Specification页面的Select the resources to export字段中,选取要汇出的资源。

V. 选取适当的勾选框,以指出想Export generated class files and resourcess或Export java source files and resources。附注:这两种情况皆会汇出所选的资源。

VI. 在Select the export destination字段中,输入或按一下Browse 以选取JAR 文件的位置。

VII. 选取或清除Compress the contents of the JAR file勾选框。VIII. 选取或清除Overwrite existing files without warning勾选框。

如果清除这个勾选框,则会提示确认是否要更换每一个将被改写的档案。

IX. 附注:在撰写JAR 檔、JAR 说明与Manifest 档时,会套用改写选项。

X. 有两项选择:

?按一下Finish来立即建立JAR 檔。

?按一下Next,使用「JAR 套装选项」页面,以设定进阶选

项,建立JAR 说明,或变更预设manifest。

IV

V

VI

VII

VIII

图4.33

4.8.2设定进阶选项

I. 遵循建立JAR 文件的程序进行,但在最后一个步骤中按一下

Next,以移至「JAR 套装选项」页面。

II. 如果想储存JAR 档说明,请选取Save the description of this JAR in the workspace勾选框。

III. 编译器能产生CLASS 文件,即使程序文件中有错误。可以选择排除内含编译错误的CLASS 文件(但非程序文件)。如果有

启用报告特性的话,则结束时将会报告这些档案。

IV. 可以选择排除内含编译警告的CLASS 文件(但非程序文件)。

在结束时将会报告这些档案。

附注:这个选项不会自动排除内含编译错误的类别档。

V. 可以选择包含来源数据夹路径,方法是选取Create source folder structure勾选框。

VI. 如果希望让汇出作业在建立JAR 文件前先执行建置,请选取Build projects if not built automatically勾选框。

VII. 按一下Finish,以立即建立JAR 檔;如果想变更预设manifest,请按一下Next。

III

IV

V

VI

II

图4.34

4.8.3定义JAR 檔的manifest

可以直接在精灵中定义JAR 檔Manifest 的重要部分,或使用

Vericut 基础教程-构建机床、程序原点、刀具设置、宏程序仿真 by ljg

Vericut 基础培训一构建三轴机床、仿真宏程序 Vericut 基础培训1 ——构建三轴机床,仿真宏程序 作者:LJG 使用Vericut仿真,必须包含毛坯、数控程序、刀具三个部分,但为了仿真的准确性和真实性,我们还需要机床、夹具用于仿真碰撞,设计模型用于比对仿真结果的正确性等。 这一章我们从基本的三轴机床构建讲起。 在Vericut里有两种方法构建机床,一种是通过Vericut自带的简单建模工具建立机床模型,另外一种是使用其它CAD软件先建立好机床模型,再将机床模型文件导出为Vericut可以接受的文件格式,再导入Vericut。用Vericut自带的建模工具建立机床模型比较麻烦,这里我们用第二中方法,利用NX将建好的机床模型文件导出为.STL 格式文件,并导入Vericut用以构建三轴机床。 一、从NX输出机床模型 从论坛https://www.wendangku.net/doc/5118743666.html,上下载机床模型文件,用NX6打开,如下图1所示。 图 1 一般像机床外壳,控制系统操作面板等实际仿真过程中不需要的部件可以不导出,不过在Vericut里导入不参与仿真的部件可以增加机床的真实感。这里我们不导出机床外壳,控制系统操作面板这两个部件,将这两个部件隐藏如图2所示。

图 2 将不用的部件隐藏后,我们可以看见如图3所示的主轴端面的坐标系。 图 3 在机床建模的时候,我们一般会按照机床的机械零点位置来建立各个机床运动部件的模型,而机床的Z轴的机械原点一般在主轴端面,如图3所示。但从这个机床模型可以看出X、Y轴的位置并不在机械原点,所以我们导出后还要在Vericut里进行调整。 下面先输入机床床身,即在仿真过程中不运动的部件。选择主菜单File > Export >STL…,弹出Rapid Prototyping对话框,这里可以设置输出模型的公差,公差的大小会影响STL文件的大小,不改变参数,单击OK,在弹出的对话框中输入要保存的文件名,输入Based_Y,双击鼠标中键(单击两次OK),选择绿色的底座和导轨,如图4所示的高亮显示部件,选择完成后所有弹出的窗口,都选择OK。 图4

Eclipse调试方法入门

Eclipse调试 这个教程将帮助学生获得关于调试的一些关键概念,以及如何进行一个调试 这是我们所熟悉的Eclipse环境,我们从这里开始教程。打开一个我们想进行调试的工程 需要做的第一件事就是给我们的工程设置一个调试特性,点击bug图标之后的下拉三角。弹出Debug窗口 双击Jave Application,我们可以对程序创建一个Java Application型的新配置。选择工程及主类。点击“Apply”然后关闭。

放置断点 断点起到告诉程序什么时候暂停的作用。进入你想放置断点的代码行。右键点击选中行前面的的兰色竖条部分。选择toggle breakpoint。或者双击兰色竖条。 选择toggle breakpoint. 选择Window菜单,切换到debug透视图。这就是Debug透视图,如果你注意到,我们的代码窗口在下方。并且上面多出了两个窗口,左边就是显示我们运行程序的窗口,以及堆栈。右边将显示变量和表达式。

下的箭头符号,进行选择 代码变为绿色,意思是这是将要执行的下一行代码。

现在我们可以执行几个操作。其中比较基础的一个是单步操作。如果断点标注的是一个函数调用,选择单步进入Step Into,你可以执行并进入到代码的下一行;同样的如果你想不执行方法的这一行,你可以执行“Step over”,并且不进入方法。 假设我们使用单步跳入,并且进入了一个函数调用开始调试。这时如果我们使用一个“Step Return”,这将完成执行方法的余下部分。你将顺利的处于方法后的将要执行的那一行代码中。

(继续)按钮。 最后,如果我们不再想进行调试,并且想要程序结束,你可以点击位于左窗口顶端的“terminate”按钮。

Java基础入门教程(适合所有初学者)

第1章 Java语言概述与面向对象思想 1 1.1 Java语言的发展 1 1.1.1 Java语言的产生 1 1.1.2 Java语言的发展 1 1.2 Java语言的特点 2 1.3 面向对象与面向过程的差异 3 1.3.1 面向过程思想回顾 4 1.3.2 面向对象思想介绍 4 1.4 面向对象程序设计中的主要概念和特征 4 1.4.1 主要概念 5 1.4.2 主要特征 5 *1.5 Java与C++的差异 5 1.6本章小结5 习题 5 第2章 Java语言开发环境 6 2.1 JDK 6 2.1.1 JDK的简介6 2.1.2 JDK的构成6 2.1.3 JDK的使用6 2.2 IDE 8 2.2.1 IDE简介8 2.2.2 JBuilder 9 2.2.3 Eclipse 9 2.2.4 相关资源9 2.3 Project管理9 2.3.1 Project的含义9 2.3.2 可行的Project组织模式9 2.3.3 主要开发工具的Project目录10 2.4 本章小结10 习题10 第1章 Java语言概述与面向对象思想 1.1 Java语言的发展 1.1.1 Java语言的产生以介绍面向对象编程的基本概念、基本理论为重点,结合Java语言的语法规则、编程特点和设计思想、强调容易发生错误和编程应注意的地方,使学生能对Java 技术有一个总体了解,通过本课程学习,使学生掌握Java语言的基础知识,理解和掌握面向对象程序设计的基本思想,熟练地使用Java语言进行程序的编写、编译以及调试工作 上世纪90年代初期,Sun公司在研究一种适用于未来的智能设备的编程语言,该语言要具有一些新的特性,以避免C++的一些不足。 该语言起初命名为Oak,来源于语言作者Gosling办公室窗外的一棵橡树(Oak)。后来在注册时候遇到了冲突,于是就从手中的热咖啡联想到了印度尼西亚一个盛产咖啡的岛屿,中文名叫爪哇,Java语言得名于此。 随着Internet的迅速发展,Web应用日益广泛,Java语言也得到了迅速发展。1994年,Gosling

eclipse官方使用教程翻译

Eclipse 官方教程 Lars vogel Version 2.3 Copyright ? 2007 - 2011 Lars Vogel 11.11.2011 Eclipse java ide 本教程java ide eclipse的用法,涉及到eclipse的安装,java程序的创建和使用eclipse的细节,教程基于eclipse3.7(indigo) 1. eclipse概览 很多人知道eclipse是一个java ide。 Eclipse由开源软件社区创建,并且应用于很多不同的领域,比如作为java或者android的开发环境。 Eclipse工程由eclipse基金会管理,eclipse基金会是一个掌管eclipse工程而且帮助培养开源社区以及一个完整的包含产品和服务的非盈利性并由成员支持的公司。 Eclipse起源于2001年,今天在java开发环境市场占有率达到了65%。 Eclipse能够由各种插件来扩展,因此有很多开源工程和公司通过插件扩展了eclipse,所以使用eclipse也可以开发(eclipse rcp) 2开始 2.1安装 Eclipse需要安装安装java运行时。我推荐使用java 7(就是java1.7)。安装eclipse需要从https://www.wendangku.net/doc/5118743666.html,/downloads下载包“eclipse ide for java developers”。并将它解压到一个目录中,使用的目录名不能包含空格,有时候eclipse出现问题就是因为这个。解压完了之后就可以使用了,不需要什么额外的安装过程。 2.2开始使用eclipse 启动eclipse需要双击eclipse.exe(windows),或./eclipse(linux\mac)。系统会提示你指定一个workspace。Workspace是用来存储java工程(关于workspace,后面有详细讲解)。选择一个空目录然后点击OK,如图:

DC综合全过程及使用的命令

DC综合全过程及使用的命令 DC的初始化文件.synopsys.dc.setup,该文件是隐藏文件,需要用ls –a显示 读取文件 DC支持多种硬件描述格式,.db .v .vhd等 对于dcsh工作模式来说,读取不同的文件格式需要不同的参数 Read -format Verilog[db\vhdl ect] file //dcsh工作模式 对于tcl工作模式来说,读取不同文件格式使用不同的命令。

Read_db file.db //tcl工作模式读取db格式 Read_verilog file.v //tcl工作模式读取verilog格式 Read_vhdl file.v //tcl工作模式读取vhdl格式 读取源程序的另外一种方式是配合使用analyzer命令和elaborate命令; Analyzer是分析HDL的源程序并将分析产生的中间文件存于work(用户可以自己指定)的目录下 Elaborate则在产生的中间文件中生成verilog的模块或者VHDL的实体 缺省情况下,elaborate读取的是work目录中的文件 当读取完所要综合的模块后,需要使用link命令将读到DC存储区中的模块或实体连接起来 注意:如果在使用link命令后,出现unresolved design reference的警告信息,需要重新读取该模块,或者在.synopsys_dc.setup 文件中添加link_library,告诉DC到库中去找这些模块,同时还要注意search_path中的路径是否指向该模块或单元电路所在的目录 Link命令执行后,DC就将模块按照RTL级或者门级的描述将电路连接起来,之后的各种限制条件就可以对该电路的顶层模块施加 DC限制条件施加的对象 针对以下电路成分:输入输出端口、模块、子模块的实例化、单元电路、连线及电路的引脚。在使用DC命令的时候,不要使用模糊的对象,例如,如下面的电路: Set_load 3 Clk 由于在电路中含有名称为CLK的pin、port和net,因此,DC无法知道该处的load是施加在哪个对象上,注意到一般连线会驱动负载,该命令就改为: Set_load 3 [get_nets Clk] TCL mode Set_load 3 find(net,”Clk”)dc_shell mode 路径的划分与合法路径 DC综合的时候是基于路径的优化,对于DC来说,合法的路径必须满足以下条件 路径的起点为输入端口或者是触发器的数据端 路径终点为输出端口或者是触发器的时钟端 DC设定的限制条件的值都是无单位的,这些单位都是由工艺库中给定的 可以用report_lib lib_name来查看所有在工艺库中设定的各个参数的单位 定义电路的工作环境和综合环境

Vericut 7.0教程 新功能

Vericut 7.0 新功能 Vericut 7.0的增强功能 亮点 加强了项目树(Project Tree)功能,减少了弹出对话框的数量,我们只要通过导航就可以创建一个仿真项目。Vericut 7.0在创建仿真项目方面与Vericut 6.2是有很大区别的。 ●项目必须通过项目树来配置。 ●以前版本中那些弹出对话框中的常用功能,都放置到项目树中。 ●选定项目树中的任意节点,在项目树的底部都会出现此节点的配置菜单。 ●在项目树中还增加了一种新的文件选择方法 ●在项目树配置菜单中的更改会直接应用,而不需要按“确定”、“应用”、“取消”等按钮。 ●由于项目树中也可以显示机床组件,则取消了组件树。 现在的项目树能引导您使用项目树的各种功能完成一个项目中的所有的设置的配置,例如: ●通过项目树从上到下的结构,可以完成项目树中所有的节点的配置。 ●在创建和配置某一个设置的过程中,可以有选择的在项目树底部显示配置面板。 ●配置面板中显示的设置操作都是配置项目时最常用的。 ●配置面板中显示的设置功能是根据项目树中选定的各个节点而不同,是各节点特有的。 ●在配置面板中的任何操作(填写的文字和数字、确认的选项、或点击的按钮)都会直接应用,不需要你按“确定”、“应用”等等。 ●不常用的功能可以通过鼠标右键项目树中各个节点弹出的快捷菜单,或者通过左键单击菜单工具栏弹出的对话框来设定。 VERICUT在计算和动画仿真NC代码的运动轨迹时,采用了一种新的方法。 ● 动画运动在所有的视图里都是等同的。 ● 在不同的视图类型中刀具的显示是一样的。

● 对于所有的动作类型和视图类型,放慢和跳跃切削都是一样的。 ● 碰撞公差和运动显示是相互独立的。 功能的增强 刀轨和机床验证 *VC增加了模拟自动倒圆、自动倒角的功能:approaching/departing, inside/outside, and CW/CCW. *工具条可以完全用户定制。每个人可以根据自己的需要添加或去掉某些按钮,并且可以调整按钮的显示顺序。 *在工件视图里,材料去除和刀具显示的功能增强了,可以在X方向或Y方向偏置刀具驱动点。*现在在VC的图形显示力可以很好的显示出水切割刀具的三段不同的区域,和其在刀具管理菜单的刀具显示区域显示得是一模一样的。 *BLOCK定义和描述的,以前只能用数字表示的变量现在也可以用。 *按钮“Preserve Stock Transition”现在已经移到了切削过程毛坯的右键菜单和切削过程毛坯的“Configure Model”的装配子菜单中。具体操作:运行VC的程序后,会自动生成一个cut stock,鼠标右键点击,就会看打“Preserve Stock Transition”,或者左键点击cut stock,在项目树的下方会出现一个“Configure Model”对话框,点击“Assemble”,就会看见“Preserve Stock Transition”。 *VC现在能参考NX的PART格式的文件。VC 是通过一个NX\OPEN的应用程序来打开文件的。 *VC的机床和控制系统文件的格式是XML格式的。 *工作目录可以可以保存到用户选择的文件夹中。 *现在可以鼠标右键点击项目树中的坐标系来实现坐标系的重命名。 *在项目树里可以指定G代码偏置,比如编程原点、工件偏置等等。 *在File>Preferences增加了一个勾选项:自动将工作目录设置到当前项目文件夹。当勾选的时

Eclipse_CDT安装及使用教程

Eclipse CDT安装及使用教程 Eclipse CDT安装教程 一、安装配置JDK 1、下载windows jdk 安装包,可以从官网下载,也可以从这里下载,下载完成后,按照一般软件安装的方法安装; 2、安装完成后,找到安装目录,如C:\Program Files\Java\jdk1.6.0_35,记录下来; 3、Windows7下右击电脑桌面的计算机,选择属性,然后点击右边的“高级系统设置”,在弹出的对话框点击“环境变量”,如图。 4、在步骤3中弹出的对话框的下方,看到系统变量,点击下方的“新建”(见图12),然后在变量名的地方填入JA V A_HOME,变量值填入步骤2中记录的路径,然后点击确定;

5、按照步骤4的方法,新建另一个变量,变量名是:CLASSPATH,变量值是:.;%JA V A_HOME%\lib\dt.jar;%JA V A_HOME%\lib\tools.jar;%JA V A_HOME%\jre\lib\rt.jar 统变量中找到变量名为PATH的变量,双击,在变量值的最后加上:;%JA V A_HOME%\bin(见图),然后一路确定。 7、至此,eclipse的运行环境配置成功。

二、配置C/C++编译器MinGW Windows下安装配置MinGW 从MinGW官网下载最新程序,下载地址: https://www.wendangku.net/doc/5118743666.html,/project/mingw/Installer/mingw-get-inst/mingw-get-inst-20120426/mi ngw-get-inst-20120426.exe; 双击下载好的程序,然后一路点击“Next”按钮,直到图1的界面,选择“I accept the agreement”,然后点击next。 3、选择路径,默认放在C:\MinGW,点击next;接下来的界面也是next;一直到图中的组件选择界面,勾上C Compiler前面的钩,也可以按自己喜好选上C++ Compiler或其它组件,点击next;在下一个界面点击Install,就会进行安装。

synopsys DC10.03图文安装配置详解

喾林原创 Synopsys DC10.03安装配置 1、需准备安装包: 1)、Synopsys DC(design compiler)安装包 2)、SCL 安装包(注:此包为synopsys license 管理)。 3)、Synopsys 图像安装工具:installer2.0及以上均可。 (注:图形安装操作简单,不易出错,故采用图形安装界面) 4)、Synopsys license 制作工具( EFA LicGen 0.4b 和Synopsys SSS Feature Keygen )。 2、开始安装DC : 1)、启动图形安装界面 于linux 系统下解压installer2.0包(在windows 下解压文件易损坏)。解压后运行setup.sh 得如图(一)所示界面。 图 (一)

喾林原创点击“start ”有如图(二)所示界面开始安装。在“Source ”栏选中DC 安装文件所在上层目录。“Done ”后“Next ”(此次“Next ”时间较长,耐心等待)。 图 (二) 之后可一直“NEXT ”到如图(三)所示。 图 (三)

在该界面勾选linux选项即可,继续下一步到如图(四)所示。选择安装路径后继续下一步直到结束。 喾林原创 图(四) 至此DC安装结束。 3、开始安装SCL: 此安装与DC安装步骤一直,几乎没有差别,唯一不同的就是安装路径不同。 4、license的制作: License的制作是在windows下制作的。

1)、打开EFA LicGen 0.4b文件夹运行LicGen.exe程序出现如图(五)所示界面。 喾林原创 图(五) 点击“OPEN”选择Synopsys.lpd文件,“打开”。回到图(五)所示界面。勾选上Custon、Use Daemon及最后一个Custon。

vericut6中文教程-构建二轴车铣复合机床

Session 44 构建一个二轴运动的车铣中心 在这一课中演示怎样定义一个 VERICUT 二轴运动的车铣中心。通过这课演示定义有刀塔和多种刀具 加载的机床的应用。课文中集中在定义部件和模型来构建一个功能型的机床。极少的考虑部件显示的性质。 图 106.1 所示例子被定义的数控机床刀具。图中确定机床坐标(XcYcZc axes),运动坐标系和主要部件。使用 Fanuc 15T 车床控制系统。一个倾斜 45o的卧式车床;因此 X 轴有 45o的斜度。塔盘上有 12 个指针位 置。样板程序将使用三把刀具。构建好二轴车床以后并且配置一个合适的项目文件,VERICUT 将配置好以 后再通过数控程序仿真机床运动。 图 106.1 所示,XcYcZc 坐标系表示机床零点坐标系统。图示机床位置在 X460 Z520。 图 106.1 车铣中心 步骤: 1.建立一个公制的项目文件。 运行 VERICUT 应用程序。 223

选择File> New Project > Millimeter 菜单按钮。 2.在 Machine/Cut Stock 视图中显示坐标系。 在图形区,右击,从系统弹出的快捷菜单中选择View Type> Machine/Cut Stock 菜单命令。 在图形区,右击,从系统弹出的快捷菜单中选择Display Axes > Component 菜单命令。 重复操作显示 Model 坐标系。 重复操作显示 Driven Point Zero 坐标系。 在图形区,右击,选择View > H-ISO 菜单命令。 3.打开 Fanuc 15T 为车床配置系统控制文件。 Project,从系统弹出的右键快捷菜单中选择Expand All Children 在 Project tree(项目树)中,右击 菜单命令。 在 Project tree(项目树)中,右击Control,从系统弹出的右键快捷菜单中选择Open 菜单命令。 在 Shortcut 下拉列表框中选择 Library 选项。 在文件列表框中选择文件 fan15t_t.ctl。 单击 Open 按钮,图 106.2 所示。 图 106.2 配置控制系统 接下来步骤定义部件从"Base" to "Tool"。 在机床的刀具侧部件:Base > Z > X> Tool。 4.显示部件树。 ),系统弹出 Component Tree 窗口,如图 106.3在主菜单中,选择Configuration > Component Tree ( Or 所示。 224

Eclipse中JAVA中文教程

来源:网上 PDF制作:https://www.wendangku.net/doc/5118743666.html, Eclipse – 整合开发工具 基础篇

Jacky Lee 2005/03/01

目录 0.环境说明 (8) 1.Eclipse简介 (9) 1.1历史背景 (9) 1.2开发原始码软件 (10) 1.3 Eclipse版本介绍 (10) 1.4跨语言、跨平台 (11) 2. Eclipse Platform (13) 2.1概观 (13) 2.2架构 (13) 2.3项目与资料夹 (14) 2.4平台核心 (14) 2.5工作区(workspace) (15) 2.6工作台(workbench) (15) 2.6.1视图(View) (16) 2.6.2编辑器(Editor) (19) 2.6.3视景(Perspective) (22) 2.7重新排列视图和编辑器 (23) 2.7.1放置游标 (23) 2.7.2重新排列视图 (24) 2.7.3并列编辑器 (25) 2.7.4重新排列附加标签的视图 (26) 2.7.5最大化 (27) 2.8菜单和工具列 (28) 2.8.1菜单 (29) 2.8.2图标和按钮 (44) 2.9视景 (49) 2.9.1新视景 (49) 2.9.2新窗口 (51) 2.9.3储存视景 (52) 2.9.4配置视景 (54) 2.10作业和标记 (55) 2.10.1不相关的作业 (56) 2.10.2相关的作业 (56) 2.10.3开启档案 (58) 2.11书签 (58) 2.11.1新增和检视书签 (59)

2.11.2使用书签 (61) 2.11.3移除书签 (61) 2.12快速视图(Fast View) (63) 2.12.1建立快速视图 (63) 2.12.2使用快速视图 (64) 2.13比较 (65) 2.13.1简单比较 (66) 2.13.2了解比较 (67) 2.13.3使用比较 (69) 2.14历史纪录 (71) 2.15回应 UI (73) 3.喜好设定(Preferences) (76) 3.1工作台(Workbench) (77) 3.1.1外观(Appearance) (79) 3.1.2功能(Capabilities) (80) 3.1.3颜色和字型(Colors and Fonts) (82) 3.1.4比较/修正(Compare/Patch) (83) 3.1.5编辑器(Editors) (86) 3.1.6档案关联(File Associations) (87) 3.1.7按键(Keys) (90) 3.1.8标签装饰(Label Decorations) (99) 3.1.9链接资源(Linked Resources) (99) 3.1.10历史纪录(Local History) (101) 3.1.11视景 (102) 3.1.12搜寻(Search) (104) 3.1.13启动和关闭(Startup and Shutdown) (105) 3.2 Ant (107) 3.2.1 Ant 编辑器(Ant Editor) (107) 3.2.2 Ant 执行时期(Ant Runtime) (109) 3.3建置次序(Build Order) (112) 3.4说明(Help) (113) 3.4.1说明服务器(Help Server) (115) 3.5自动更新(Install/Update) (116) 3.6 Java (117) 3.6.1外观(Appearance) (118) 3.6.2类别路径变量(Classpath variables) (119) 3.6.3程序代码格式制作器(Code Formatter) (120) 3.6.4程序代码产生(Code generation) (122)

synopsys简易教程

以.cshrc 及用户根目录下的.synopsys_vss.setup .synopsys_dc.setup 已经配置为前提)1.创建工作目录; 2.编写vhdl源程序; 3.编写.synopsys_vss.setup 和.synopsys_dc.setup文件; 4.编译vhdl源程序; 5.运行vhdldbx 仿真器; 6.运行synopsys的综合器; 7.完成综合后的门级仿真; 以一个一位加法器为例,进行具体说明(用户界面为CDE): 1)创建adder 目录: 可以在资源管理器中完成,也可以在unix环境下完成:mkdir adder; 2)在adder目录下创建work目录; 3)编写.synopsys_vss.setup文件并存入adder目录;.synopsys_vss.setup的内容如下:WORK >DEFAULT DEFAULT:work TIMEBASE = NS 4)编写一位加法器的源程序(adder1.vhd)并存入adder目录下: library ieee; use ieee.std_logic_1164.all; entity adder1 is port(din1 : in std_logic; din2 : in std_logic; cin : in std_logic; dout: out std_logic; cout: out std_logic); end adder1; architecture rtl of adder1 is begin dout <= din1 xor din2 xor cin; cout <= (din1 and din2) or (cin and (din1 xor din2)); end rtl; 5)编写一位加法器的测试基准(即test_bench)并存入adder目录下:(tb_adder1.vhd)library ieee; use ieee.std_logic_1164.all; entity tb_adder1 is end tb_adder1; architecture rtl of tb_adder1 is component adder1 is port(din1 : in std_logic; din2 : in std_logic; cin : in std_logic;

vericut6全中文版教程-如何配置机床刀库

Session 53 配置一个带刀库的机床 这一课将演示怎样配置一个有自动换刀配置的 VERICUT 机器。这一课将介绍用户使用刀具库部件和控制刀具更换的子系统。 1. 打开项目文件“tool_chain.vcproject”。 已经配置好的项目文件没有刀具库的功能,传送装置仅仅显示在图形窗口中。这一课将演示修改传送装置成为有刀具更换功能的刀具库。 运行 VERICUT 应用程序。 选择 File> Open 菜单命令,系统弹出 Open Project 对话框。 在 Shortcut 下拉列表框中选择 Training 选项。 选择文件 tool_chain.vcproject。 单击 Open 按钮确认打开文件,如图 115.1 所示。 选择工具条上按钮设定你的工作路径。 图 115.1 Machine 2.定义一个刀具放置链部件。 在主菜单中,选择Configuration > Component Tree ( Or),如图 115.1 所示。 276

图 115.1 部件树 右击 TC_Carousel ,从系统弹出的快捷菜单中选择Append > Tool Chain 命令。 双击 Tool Chain,系统弹出 Modeling 窗口。 在 Component Attributes 选项卡,在 Machine 选项组右侧单击 Toolchain Parameters 按钮,系统弹出Toolchain 窗口,如图 115.2 所示。 图 115.2 Toolchain 注意:传送装置的刀具数量能在 Toolchain 窗口中定义,以及每把刀具之间的距离。公式在计算器中能 被调用来计算刀穴之间输入值的距离。 在 Number of pockets 文本框中输入:10。 在Pocket-to-pocket distance (2 * π * r / 刀穴数)文本框中输入:4.744。 单击 OK 按钮。 277

Eclipse教程

附录J:Eclipse教程 By Y.Daniel Liang 付蓉译 该帮助文档包括以下内容: ●Eclipse入门 ●选择透视图 ●创建项目 ●创建Java程序 ●编译和运行Java程序 ●从命令行运行Java Application ●在Eclipse中调试 提示:在学习完第一章后使用本教程第1节~第6节,学习完第二章后可配合本教 程的第7节,开始学习第十四章时可配合本教程的第8节。 0 简介 该教程的使用者包括正在通过使用Eclipse来学习Java课程的学生和想要用Eclipse开发Java项目的程序员。Eclipse是由IBM提供的一个开源的Java程序开发软件。 你也可以使用JDK命令行工具来写Java程序。JDK命令行工具包括一系列独立的程序,如编译器和解释器,都可从命令行来激活。除了JDK命令行工具,市场上还有很多Java的开发工具,包括Borland公司的JBuilder、NetBeans、Sun公司的ONE Studio(商业版的NetBeans)、Eclipse和WebGain Visual Café。这些工具为快速开发Java程序提供集成的开发环境(IDE)。编辑、编辑、构造、调试和在线帮助被集成在一个用户图形界面。有效的使用将会极大的提高编程速度。 这个简短的教程将会帮助你熟悉Eclipse,尤其是在创建项目、创建程序、编译和运行程序方面。 提示:Eclipse可运行在任何的Java虚拟机之上。本文中所有的屏幕截图都来自于运行在Windows之上的Eclipse3.0。你可以到https://www.wendangku.net/doc/5118743666.html,下载Eclipse。

安装提示:安装Eclipse之前必须安装JDK1.5。JDK1.5可以从https://www.wendangku.net/doc/5118743666.html,/j2se/1.5/download.html下载。Windows版本的Eclipse存放在压缩文件eclipse-SDK-3.0-win32.zip中。将文件解压缩到c:\下,解压缩后所有的文件都放在c:\eclipse中。 1 开始使用Eclipse 假设你已经将Eclipse安装在目录c:\eclipse下。要启动Eclipse,双击c:\eclipse目录下的eclipse图标(如图1): 图1 双击后出现了工作区装载窗口(如图2): 图2 输入你的工作区所在的目录,本例所有项目、程序都存放在c:\smith目录下,故输入c:\smith,然后点击OK,Eclipse的图形界面就展现在你的眼前了(如图3)。

启动dc_shell工具的.synopsys.setup文档

设置启动dc_shell-t工具的 .synopsys.setup文件 Author:周建伟 Company:西安邮电大学SOC组 Date:2013.10.30 摘要:若你在读不进你的库,即在你的运行报告中总是有:warning:Can?t read link_library file …your_library.db?,这边文档会对你有一定的帮助

逻辑综合环境 启动文件 启动文件用来指定综合工具所需要的一些初始化信息。DC使用名为“.synopsys_dc.setup”的启动文件(位置:inst_dir/admin/setup/.synopsys_dc.setup)。启动时,DC会以下述顺序搜索并装载相应目录下的启动文件: DC安装目录($DC_PATH/admin/setup) 用户主目录 工具启动目录 注意:后装载的启动文件中的设置将覆盖先装载的启动文件中的相同设置 本文档重在讲述怎么设置工具启动目录 1、把inst_dir/admin/setup/.synopsys_dc.setup文件拷贝到你DC脚本目录下(也就是和你 脚本在同个目录下) 2、在.synopsys_dc.setup文件的第92行,即set link_force_case “check_reference”命令下 修改内容如下: A、set lib_path /library/smic18/feview~2/version2.2(注:lib_path为你smic18库安装 目录,不同于DC安装目录) B、set link_library [list * $lib_path/STD/Synopsys/smic18_ss.db \ $lib_path/IO/Synopsys/smic18IO_line_ss.db \ $lib_path/IO/Synopsys/smic18IO_stagger_ss.db ] C、set search_path [list . ${synopsys_root}/libraries/syn ${synopsys_root}/dw/syn_ver \ ${synopsys_root}/dw/sim_ver \ $lib_path/STD/Synopsys $lib_path/IO/Synopsys ] D、set target_library [list $lib_path/STD/Synopsys/smic18_ss.db \ $lib_path/IO/Synopsys/smic18IO_line_ss.db \ $lib_path/IO/Synopsys/smic18IO_stagger_ss.db ] E、set synthetic_library “” set command_log_file “./command.log” set designer “zjw” set company “soc of xupt”

eclipse知识教程(1)

ECLIPSE 黑油数值模拟基础 斯伦贝谢科技服务(北京)有限公司 Schlumberger Technology Services (Beijing) Ltd

目录 简介1 目的3 什么是油藏数值模拟 5 如何把模型与实际油藏联系在一起7 为什么要进行油藏数值模拟研究10 为什么选择ECLIPSE 12 ECLIPSE的功能14 ECLIPSE是如何工作的16 静态油藏描述19 PVT和岩石数据22 初始化数据24 井数据26 使用ECLIPSE进行油藏数值模拟研究28 如何使用手册30 文件的组织和结构33 ECLIPSE输入/输出结构35 ECLIPSE输出文件 37 ECLIPSE输出类型 41 输出文件名称44 文件位置48 宏命令的使用50 输入文件结构53

数据文件语法规则56 关键字语法58 各部分通用的关键字60 系统使用63 基本的UNIX命令65 VI编辑器69 RUNSPEC部分 73 RUNSPEC部分的作用 75 RUNSPEC部分关键字 78 不含RUNSPEC部分的数据文料81 GRID部分83 GRID部分的作用85 数据排列规则88 网格几何形状90 块中心网格实例94 角点网格实例97 网格模型格块属性99 如何指定网格格块属性101 传导系数规则109 笛卡儿网格的传导系数Ill 径向网格传导系数118 页岩模型121 传导系数修正124

非相邻连接NNC 128 断层处NNC的生成130 尖灭处NNC的生成132 局部网格加密(LGR)处NNC的生成134 双孔模型中的NNC 136 水体处NNC的生成138 径向网格中NNC的生成140 径向模型142 输出控制145 GRID部分关键字总结 148 GRID部分关键字149 EDIT部分153 EDIT部分的作用155 EDIT部分关键字总结157 PROPS部分—流体属性159 流体属性的作用161 黑油模型概述163 黑油及组分模拟167 油相状态方程169 用PVDO输入dead oi1的PVT属性172 用PVCDO输入dead oi1的PVT数据174 用PVTO输入live oil的PVT数据176 用PVCO输入live oi1的PVT数据178

vericut中文教程-构建二轴车床模型

Session 43 构建一个二轴运动的车床模型 在这一课中演示怎样配置一个 VERICUT 二轴运动的车床文件。并且在 VERICUT 中为机床添加一个仿真的数控程序。二轴车床使用一个 Fanuc 16T.二轴控制系统,运行一个车加工程序“mcdturn.mcd”,如图105.1 所示 图 105.1 两轴车床 机床零点在主轴端面并且在主轴中心。上图显示机床回到 X12.0 Z14.0 的位置。 步骤: 1.建立一个英制的项目文件。 运行 VERICUT 应用程序。 选择File> New Project > Inch 菜单按钮。 显示项目树“Project Tree”。 2.给机床配置 Fanuc 16T 控制系统文件。 在 Project tree(项目树)中,右击Setup : 1,,从系统弹出的右键快捷菜单中选择Expand All Children 菜单命令。 在 Project tree(项目树)中,右击Control,从系统弹出的右键快捷菜单中选择Open 菜单命令。 在 Shortcut 下拉列表框中选择 Library 选项。 在文件列表框中选择 fan16t.ctl 文件。 单击 Open 按钮。 接下来步骤定义部件从"Base" to "Tool"。 216

在机床的刀具部分部件:Base > Z > X> Tool 3.显示部件树。 在主菜单中,选择Configuration > Component Tree ( Or 所示。 ),系统弹出 Component Tree 窗口,如图 105.2 图 105.2 部件树 4.增加"Z" to "Base"。 在部件树中,选择右击Base(0,0,0)。 Base(0,0,0),从系统弹出的快捷菜单中选择Append > Z Linear 菜单命令如图 105.3 所示。 图 105.3 添加Z 217

Eclipse教程入门到精通

Eclipse –入门到精通 初级篇 目录 0.环境说明 (8) 1.Eclipse 简介 (9) 1.1 历史背景 (9) 1.2 开发原始码软件 (10) 1.3 Eclipse 版本介绍 (10) 1.4 跨语言、跨平台 (11) 2. Eclipse Platform (13) 2.1 概观 (13) 2.2 架构 (13) 2.3 项目与资料夹 (14) 2.4 平台核心 (14) 2.5 工作区(workspace) (15) 2.6 工作台(workbench) (15) 2.6.1 视图(View) (16) 2.6.2 编辑器(Editor) (19) 2.6.3 视景(Perspective) (22) 2.7 重新排列视图和编辑器 (23) 2.7.1 放置游标 (23) 2.7.2 重新排列视图 (24) 2.7.3 并列编辑器 (25) 2.7.4 重新排列附加标签的视图 (26) 2.7.5 最大化 (27) 2.8 菜单和工具列 (28) 2.8.1 菜单 (29) 2.8.2 图标和按钮 (44) 2.9 视景 (49) 2.9.1 新视景 (49) 2.9.2 新窗口 (51) 2.9.3 储存视景 (52) 2.9.4 配置视景 (54) 2.10 作业和标记 (55) 2.10.1 不相关的作业 (56) 2.10.2 相关的作业 (56) 2.10.3 开启档案 (58) 2.11 书签 (58)

2.11.1 新增和检视书签 (59) Eclipse 中文教程.doc 第 4 頁,共 288 頁 2.11.2 使用书签 (61) 2.11.3 移除书签 (61) 2.12 快速视图(Fast View) (63) 2.12.1 建立快速视图 (63) 2.12.2 使用快速视图 (64) 2.13 比较 (65) 2.13.1 简单比较 (66) 2.13.2 了解比较 (67) 2.13.3 使用比较 (69) 2.14 历史纪录 (71) 2.15 回应 UI (73) 3.喜好设定(Preferences) ...................................................... 76 3.1 工作台(Workbench) (77) 3.1.1 外观(Appearance) (79) 3.1.2 功能(Capabilities) (80) 3.1.3 颜色和字型(Colors and Fonts) (82) 3.1.4 比较/修正(Compare/Patch) (83) 3.1.5 编辑器(Editors) (86) 3.1.6 档案关联(File Associations) (87) 3.1.7 按键(Keys) (90) 3.1.8 标签装饰(Label Decorations) (99) 3.1.9 链接资源(Linked Resources) (99) 3.1.10 历史纪录(Local History) (101) 3.1.11 视景 (102) 3.1.12 搜寻(Search) (104) 3.1.13 启动和关闭(Startup and Shutdown) (105) 3.2 Ant (107) 3.2.1 Ant 编辑器(Ant Editor) (107) 3.2.2 Ant 执行时期(Ant Runtime) (109) 3.3 建置次序(Build Order) (112) 3.4 说明(Help) (113) 3.4.1 说明服务器(Help Server) (115) 3.5 自动更新(Install/Update) (116) 3.6 Java (117) 3.6.1 外观(Appearance) (118) 3.6.2 类别路径变量(Classpath variables) (119) 3.6.3 程序代码格式制作器(Code Formatter) (120) 3.6.4 程序代码产生(Code generation) (122) Eclipse 中文教程.doc 第 5 頁,共 288 頁

相关文档