文档库 最新最全的文档下载
当前位置:文档库 › DSP 28335 资料 学习

DSP 28335 资料 学习

TMS320MHz FPU Flash

KB

RAM

KB

DMA

PWM/

HRPWM

CAP/

QEP

Communication

Ports

F28335150Yes51268Yes12/66/2SPI, 3x SCI, I2C, 2x McBSP,

2x CAN

F28334150Yes25668Yes12/64/2SPI, 3x SCI, I2C, 2x McBSP,

2x CAN

F28332100Yes12836Yes12/44/2SPI, 2x SCI, I2C, McBSP, 2x

CAN

F28235150No51268Yes12/66/2SPI, 3x SCI, I2C, 2x McBSP,

2x CAN

F28234150No25668Yes12/64/2SPI, 3x SCI, I2C, 2x McBSP,

2x CAN

F28232100No12836Yes12/44/2SPI, 2x SCI, I2C, McBSP, 2x

CAN

?All devices above are 100% pin-compatible and 100% Software compatible ?All devices have 16/32-bit EMIF, 16 channel ADC at 12.5 MSPS, and 88 GPIO

F2823x Digital Signal Controller

Processor Performance

?150 MHz

?Single-cycle 32-bit MAC

?6-channel DMA support for ADC, McBSP , EMIF

Memory

?All on-chip flash and 32KB RAM protected by code security module ?16/32-bit EMIF supports async. SRAM, flash, ROM

Control Peripherals

?PWM outputs interfaces for three 3-phase motors

?6 High-resolution PWM outputs ?Event captures can also provide PWM outputs

?88 total digital I/O pins

TMS320F28235

Real-Time JTAG

32-bit Timers (3)C28x TM 32-bit DSC 32x32-bit Multiplier R M W Atomic ALU

Interrupt Management Memory Bus

Code security 12-bit ADC SPI 2 CAN

3 SCI 2 McBSP

512 KB Flash

68 KB RAM

Peripheral Bus

2 QEP 6 CAP

18 PWM 6 HRPWM DMA

88 GPIO I2C Boot ROM

16/32-bit EMIF Silicon Available in 3Q07

DSP2812学习资料整理

目录 TMS320F2812引脚详细分析 (1) HELLO一:如何开始DSP的学习 (9) HELLO二:完整工程的构成 (11) HELLO三:CCS的操作 (15) HELLO四(一):2812片内资源 (25) HELLO四(二):2812存储器映射及CMD (29) HELLO五(一):2812中断系统概述 (37) HELLO五(二):2812中断系统程序 (42) HELLO六:2812的时钟系统 (46) HELLO七:2812的IO口控制--LED点亮程序 (51) HELLO八(一) 2812EV模块---通用定时器 (52) 2812---通用定时器1初始化程序(启动ADC) (59) HELLO八(二) 2812EV模块---PWM (62) DSP---PWM波形源码 (68) HELLO九:2812--SCI模块 (74) SCI查询方式 (83) TMS320F2812引脚详细分析 推荐

XINTF信号 XA[0]~XA[18] --- 19位地址总线XD[0]~XD[15] --- 16位数据总线

XMP/MC` --- 1 -- 微处理器模式 --- XINCNF7有效 0 -- 微计算机模式 --- XINCNF7无效 XHOLD` --- 外部DMA保持请求信号。XHOLD为低电平时请求XINTF释放外部总线,并把所有的总线与选通端置为高阻态。当对总线的操作完成且没有即将对XINTF进行访问时,XINTF释放总线。此信号是异步输入并与XT IMCLK同步 XHOLDA` --- 外部DMA保持确认信号。当XINTF响应XHOLD的请求时XHOLDA呈低电平,所有的XINTF总线和选通端呈高阻态。XHOLD和XHOLDA信号同时发出。当XHOLDA有效(低)时外部器件只能使用外部总线 XZCS0AND1` --- XINTF区域O和区域1的片选,当访XINTF区域0或1时有效(低) XZCS2` --- XINTF区域2的片选,当访XINTF区域2时有效(低) XZCS6AND7` --- XINTF区域6和区域7的片选,当访XINTF区域6或7时有效(低) XWE` --- 写有效。有效时为低电平。写选通信号是每个区域操作的基础,由XTIMINGX寄存器的前一周期、当前周期和后一周期的值确定 XRD` --- 读有效。低电平读选通。读选通信号是每个区域操作的基础,由xTIMINGX寄存器的前一周期、当前周期和后一周期的值确定。注意:XRD`和XWE`是互斥信号 XR/W` --- 通常为高电平,当为低电平时表示处于写周期,当为高电平时表示处于读周期 XREADY --- 数据准备输入,被置1表示外设已为访问做好准备。XREADY可被设置为同步或异步输入。在同步模式中,XINTF接口块在当前周期结束之前的一个XTIMCLK时钟周期内要求XREADY有效。在异步模式中,在当前的周期结束前XINTF接口块以XTIMCLK的周期作为周期对XREADY采样3次。以XTIMCLK频率对XREADY的采

DSP学习笔记心得

DSP学习心得笔记 ---------------- 白建成.baijc.icekoor 引言:学习DSP的时间有两个多月了,收获很多新知识,我们要每天都有进步才行,以下内容没有特别的顺序,跟具自己的学习情况写的,如果有不对的地方希望指出来,如果有不懂得也可以问我,大家相互交流很重要,我的一个邮箱:baijc@https://www.wendangku.net/doc/5a19147117.html,欢迎联系! 建立新工程过程中: 问题1: "GPIO_Study.c", line 61: fatal error: could not open source file "DSP280x_Device.h" 1 fatal error detected in the compilation of "GPIO_Study.c". 解决方法: 因为project →build options→compiler→preprocessor中,要包含的头文件的地址没有加进去,你可以找到头文件的地址,然后加进去。 问题2: undefined first referenced symbol in file --------- ---------------- _c_int00 D:\DSP study\test3\Debug\DSP280x_CodeStartBranch.obj FS$$MPY D:\DSP study\test3\Debug\DSP280x_CpuTimers.obj FS$$TOL D:\DSP study\test3\Debug\DSP280x_CpuTimers.obj >> error: symbol referencing errors - './Debug/test3.out' not built 或者下面的问题: undefined first referenced symbol in file --------- ---------------- _c_int00 D:\DSP study\GPIO_Study\Debug\DSP280x_CodeStartBranch.obj >> error: symbol referencing errors - './Debug/GPIO_Study.out' not built 解决办法都是下面: 这个问题是因为没有加在库文件,请在project →build options→linker→libraries 中加入rts2800.lib。 问题3: >> warning: creating .stack section with default size of 400 (hex) words. Use -stack option to change the default size. >> error: can't allocate .stack, size 00000400 (page 1) in RAMM1 (avail: 00000380) >> error: errors in input - ./Debug/GPIO_Study.out not built 解决办法:

简单例子学习DSP编程和CCS使用

目录 实验一 CCS基本使用和DSP汇编编程基础 一、预习内容 复习汇编语言指令内容,汇编语言使用中的基本概念,CCS的基本概念。 二、实验目的 1.掌握一个DSP软件开发流程。 2.理解DSP的启动过程。 3.掌握汇编的书写规范,学会汇编指令的运用。 4.掌握编译器和连接器的使用,能够合理地分配存储空间。 5.学习CCS的各种调试技巧,如:CUP寄存器、数据和程序存储器的观察,断点的设 置,反汇编窗口的使用。 6.学习定点数的运算方法。 三、实验要求 1.用.set定义四个立即数。 2.在.bss段建立几个存储空间。 3.把立即数相加和相乘,结果放在.bss分配的存储空间。 4.合理分配各个段的存储地址,并使用CCS观察。 四、实验原理 1. 软件开发流程 从大的步骤来讲,一个软件要能够在DSP上面正常运行,需要用户完成以图1-1所示的流程。 第一步:在用户的工程里面,必须包含至少两个文件。一个是程序指令的源文件,这个文件可以是汇编编写的,也可以是C语言编写的。当然,这样的文件在一个工程当中可以用多个,而且,编程的语言可以不统一。工程当中必须包含的第二个文件是连接文件。这个文件的作用是把源文件中定义的各个段放到用户指定的DSP的程序存储区,从而可以在该区域按一定顺序执行。 第二步:两个文件编辑完成以后,可以调用编译、汇编和连接命令。编译功能把C源文件转化为汇编文件,期间的转化有一定的规则,这些规则是在书写C语言语句是应该注意的东西。汇编的功能是把编译形成的汇编文件或者是用户自己编辑的汇编文件转化为COFF格式文件。而最后通过连接命令,结合连接文件,把COFF文件连接成为可执行的COFF文件,即后缀名为.OUT的文件。 第三步:通过CCS的加载功能,把.OUT文件加载到DSP内部,其地址由连接文件规定。如果成功,可以在CCS中运行该文件,并相应进行调试。

DSP学习之前应该弄懂的问题

DSP学习之前应该弄懂的问题 一、时钟和电源, A1 }* b" P1 {4 g/ { 问:DSP的电源设计和时钟设计应该特别注意哪些方面?外接晶振选用有源的好还是无源的好?; |9 p! m, p4 [* l& E 答:时钟一般使用晶体,电源可用TI的配套电源。外接晶振用无源的好。# L3 c# c3 P. g) f/ G; o6 `4 l 3 d& V% A, l- S! [7 v 问:TMS320LF2407的A/D转换精度保证措施。" _# Z7 c( c% E' S$ F& X Q' K 答:参考电源和模拟电源要求干净。6 d% P" [2 W4 T 9 l: f( C" \: {$ E4 |/ l 问:系统调试时发现纹波太大,主要是哪方面的问题? 答:如果是电源纹波大,加大电容滤波。! @$ C) t3 r% ?+ X6 {; d" m / H" o3 O& f9 _% Q) R; O5 {& C 问:请问我用5V供电的有源晶振为DSP提供时钟,是否可以将其用两个电阻进行分压后再接到DSP的时钟输是否稳定? 答:这样做不好,建议使用晶体。 - A$ s8 u. W& [# v d8 s M 问:一个多DSP电路板的时钟,如何选择比较好?DSP电路板的硬件设计和系统调试时的时序问题?9 I \" r4 B#答:建议使用时钟芯片,以保证同步。硬件设计要根据DSP芯片的时序,选择外围芯片,根据时序设定等待和 二.干扰与板的布局 问:器件布局应重点考虑哪些因素?例如在集中抄表系统中? 答:可用TMS320VC5402,成本不是很高。器件布局重点应是存贮器与DSP的接口。: s0 z: ~- D r1 z5 h 问:在设计DSP的PCB板时应注意哪些问题?1 h8 V& Y% A4 T. n0 G* a 答:1.电源的布置;2.时钟的布置;3.电容的布置;4.终端电路;5.数字同模拟的布置。 8 L4 `+ {8 ]; X( \* I- @& K 问:请问DSP在与前向通道(比如说AD)接口的时候,布线过程中要注意哪些问题,以保证AD采样的稳定性?答:模拟地和数字地分开,但在一点接地。2 d7 u2 W# D: z# h2 ]3 D ; L) u' e' H/ j+ k4 w) R 问:DSP主板设计的一般步骤是什么?需要特别注意的问题有哪些?: N- [+ U& g# `6 _5 ]8 M 答:1.选择芯片;2.设计时序;3.设计PCB。最重要的是时序和布线 ( u+ n; j- {+ h0 y! @; v 问:在硬件设计阶段如何消除信号干扰(包括模拟信号及高频信号)?应该从那些方面着手?* e, g6 A0 S/ h8 l4 @答:1.模拟和数字分开;2.多层板;3.电容滤波。 问:在电路板的设计上,如何很好的解决静电干扰问题。 答:一般情况下,机壳接大地,即能满足要求。特殊情况下,电源输入、数字量输入串接专用的防静电器件。. 3 `5 D$ D# w9 `- R& C 问:DSP板的电磁兼容(EMC)设计应特别注意哪些问题?6 w) ?+ {% J1 P7 b. Q8 O( v 答:正确处理电源、地平面,高速的、关键的信号在源端串接端接电阻,避免信号反射。 . J2 @7 h/ u2 {. f: e( v 问:用电感来隔离模拟电源和数字电源,其电感量如何决定?是由供电电流或噪音要求来决定吗?有没有计算公

DSP学习笔记

DSP学习笔记 引言:学习DSP的时间有两个多月了,收获很多新知识,我们要每天都有进步才行,以下内容没有特别的顺序,跟具自己的学习情况写的,如果有不对的地方希望指出来,如果有不懂得也可以问我,大家相互交流很重要,我的一个邮箱:baijc@https://www.wendangku.net/doc/5a19147117.html,欢迎联系! 建立新工程过程中: 问题1: "GPIO_Study.c", line 61: fatal error: could not open source file "DSP280x_Device.h" 1 fatal error detected in the compilation of "GPIO_Study.c". 解决方法: 因为project →build options→compiler→preprocessor中,要包含的头文件的地址没有加进去,你可以找到头文件的地址,然后加进去。 问题2: undefined first referenced symbol in file --------- ---------------- _c_int00 D:\DSP study\test3\Debug\DSP280x_CodeStartBranch.obj FS$$MPY D:\DSP study\test3\Debug\DSP280x_CpuTimers.obj FS$$TOL D:\DSP study\test3\Debug\DSP280x_CpuTimers.obj >> error: symbol referencing errors - './Debug/test3.out' not built 或者下面的问题: undefined first referenced symbol in file --------- ---------------- _c_int00 D:\DSP study\GPIO_Study\Debug\DSP280x_CodeStartBranch.obj >> error: symbol referencing errors - './Debug/GPIO_Study.out' not built 解决办法都是下面: 这个问题是因为没有加在库文件,请在project →build options→linker→libraries 中加入rts2800.lib。 问题3: >> warning: creating .stack section with default size of 400 (hex) words. Use -stack option to change the default size. >> error: can't allocate .stack, size 00000400 (page 1) in RAMM1 (avail:

DSP28335的调试总结,这是一份总结很全面的资料,我在学

DSP28335的调试总结,这是一份总结很全面的资料,我在学习开发板的一些总结,希望能得到同行的帮助,愿与大家一起学习和分享

1DSP的PWM信号 1.1简介 DSP28335共12路16位的ePWM,能进行频率和占空比控制。 ePWM的时钟TBCLK=SYSCLKOUT/(HSPCLKDIV×CLKDIV): PWM信号频率由时基周期寄存器TBPDR和时基计数器的计数模式决定。初始化程序采用的计数模式为递增计数模式。在递增计数模式下,时基计数器从零开始增加,直到达到周期寄存器值(TBPDR)。然后时基计数器复位到零,再次开始增加。

PWM信号周期与频率的计算如下: 1.2端口对应关系 通道相应PWM的A/B对应JP0B端口号 1ePWM1A9 2ePWM1B10 3ePWM2A11 4ePWM2B12 5ePWM3A13 6ePWM3B14 7ePWM4A15 8ePWM4B16 9ePWM5A17 10ePWM5B18 11ePWM6A19 12ePWM6B20 说明:JP0B的端口号按“Z”字形顺序数。 1.3初始化程序注释 void InitPwm1AB(float32f) { Uint16T= 2343750/f-1.0;//系统时钟SYSCLKOUT=150MHz,TBCLK=6.6666667ns,在连续增计数模式下,f=150000000/(TBPDR+1) EALLOW; //先初始化通用输入输出口// GpioCtrlRegs.GPAPUD.bit.GPIO0 = 0; GpioCtrlRegs.GPAMUX1.bit.GPIO0 = 1; GpioCtrlRegs.GPAPUD.bit.GPIO1 = 0; GpioCtrlRegs.GPAMUX1.bit.GPIO1 = 1; EPwm1Regs.TBPHS.half.TBPHS= 0; // 在相位寄存器中设置计数器的起始计数位置

DSP学习心得说课材料

数字信号处理—DSP课程学习的认识 今年学习了DSP这门课程后,有了一些自己的认识和见解,并且体会到了它强大的功能和作用,它不但在高端的技术领域有很重要的地位,如通信、雷达、声纳、语音合成和识别、图像处理、高速控制等;而且在生活中有它不小的作用,如,影视、仪器仪表、医疗设备、家用电器等众多领域。 但是,由于DSP技术发展很快,生产DSP芯片的厂家又多,这既是它的优点同时又是缺点,因为这样会导致产品更新换代的周期越来越短,还有,每一种芯片,都有其独特的硬件结构和一套专门的指令系统与开发工具,这更加的带来了学习DSP技术的困难。在我自己的学习体系里,有自己的一点经验可以用来辅助的学习这门课程。古时候的一个习语说的是“窥一斑而知全豹”这个同时可以用来指导学习DSP,尽管它的更新换代很快,但也只是为了完善它而更好用和借助其它的技术为它添加一些别的功能而使其更强大,其实质的理论还没有变话,所以,我们可以就其某一个芯片的知识来指导其它的芯片的学习和利用。这里,以TMS320系列DSP芯片为例来进行知识的梳理。 x(t)→抗混叠滤波器→A/D→数字信号处理器→D/A→低通滤波器→y(t) 数字信号处理系统简化框图 这个作为基本的理论模型,然后开始主要内容:1.1,TMS320系列DSP芯片的概述﹑分类及应用、平台;1.2,主要特性有①CPU,②存储器,③指令系统,④在片外围电路,⑤电源,⑥在片仿真接口,⑦速度、组成框图;1.3,总线结构; 1.4,存储器空间分配、存储器(程序存储器、数据存储器);1.5,中央处理单元中,算术逻辑运算单元①ALU的输入,②ALU的输出,③溢出处理,④进位位,⑤双16位算术运算,累加器A和B(保存累加器的内容、累加器移位和循环移位、专用指令),桶形移位器乘法器/加法器单元,比较、选择和存储单元,指数编码器,CPU状态和控制寄存器;1.6,数据寻址方式①立即寻址,②绝对寻址,③累加器寻址,④直接寻址,⑤间接寻址;⑥存储器映像寄存器寻址,⑦堆栈寻址;1.7程序存储器地址生成方式,程序计数器,分支转移,调用与返回,条件操作,重复操作(重复执行单条指令、程序块重复操作),复位操作,中断(中断类型、中断标志寄存器和中断屏蔽寄存器、中断处理过程),省电方式; 1.8,流水线①流水线操作,②延迟分支转移,③条件执行,④双寻址存储器与流水线,⑤单寻址存储器与流水线,⑥流水线冲突和插入等待周期(流水线冲突、等待周期表);1.9,在片围电路①并行I/O口及通用I/O引脚,②定时器,③时钟发生器(硬件配置的PLL软件可编程PLL),④主机接口;1.10,串行口;串行口概述(标准串行口、缓冲串行口、时分多路串行口、多通道缓冲串行口); 1.11,DMA控制器①DMA控制器的基本特征,②子地址寻址方式,③DMA通道优先级和使能控制寄存器,④DMA通道现场寄存器,⑤DMA编程举例;1.12,外部总线①外部总线接口,②外部总线操作的优先级别,③等待状态发生器,④分区切换逻辑,⑤外部总线接口定时器(存储器寻址定时图、I/O寻址定时图);⑥复位和IDLE3省电工作方式(外部总线复位定时图、“唤醒”IDLE3省电方式的定时图);1.13,TMS320C54x引脚信号说明。

“简简单单DSP”系列学习活动——第一期:DSP281x简介

“简简单单DSP”系列学习活动第一期:DSP281x简介C2000系列DSP是TI公司TMS320 DSP的3大系列之一,既具有一般DSP芯片的告诉运算能力和信号处理能力,又和单片机一样,在片内集成了丰富的外设,因而特别适用于高性能数字控制系统。TMS320X281X是C2000系列中到目前为止功能最为强大的一代芯片。 TMS320X281X是一款32位定点数字信号处理器,具体的型号包括:TMS320F2810, TMS320F2811, TMS320F2812, TMS320C2810, TMS320C2811, TMS320C2811,其中F系列的是FLASH型,C系列的是ROM型。 TMS320F281X系列DSP的性能: ?高性能静态CMOS技术 150 MHz(6.67ns 周期时间) 低功率(1.8V核心@135 MHz、1.9V核心@150 MHz、3.3V I/O) FLASH编程电压3.3V 支持JTAG边界扫描 ?高性能32位CPU o 16 x 16以及32 x 32乘法和累加操作(这个就是它内部有硬件乘法器,加快了它的运算速度) o 16 x 16双乘加单元MAC o 哈佛总线架构 o 强大的操作能力 o 快速中断响应与处理(最多9个CPU周期响应中断) o 统一存储器编程模型 o 4M线性程序/数据地址范围 o 代码效率高(C语言/C++以及汇编语言) o 在代码和引脚方面与F2810、F2811以及F2812设备兼容 o TMS320F24x/LF240x处理器源代码兼容 ?片上存储器 o 多达128K X 16的FLASH存储器(2810是64K X 16) L0以及L1:每个SARAM具备两个4K x 16存储区 H0:一个8K x 16 SARAM存储区 M0以及M1:每个SARAM具备两个1K x 16存储区 ?引导ROM(4K x 16) o 具备软件引导模式 o 标准数学表格 ? SPI、SCI以及GPIO引导载入程序模式支持从片外源向片上RAM载入代码。 SPI 引导模式支持从外部串行EEPROM上载入。 o ?外部接口(2812)(2811/2810没有外部总线接口) o 存储器总容量最高1.5 X 16M o 可编程等待状态 o 可编程读/写选通脉冲定时(Strobe Timing) o 三个单独芯片选择 ?时钟以及系统控制

DSP 28335 资料 学习

TMS320MHz FPU Flash KB RAM KB DMA PWM/ HRPWM CAP/ QEP Communication Ports F28335150Yes51268Yes12/66/2SPI, 3x SCI, I2C, 2x McBSP, 2x CAN F28334150Yes25668Yes12/64/2SPI, 3x SCI, I2C, 2x McBSP, 2x CAN F28332100Yes12836Yes12/44/2SPI, 2x SCI, I2C, McBSP, 2x CAN F28235150No51268Yes12/66/2SPI, 3x SCI, I2C, 2x McBSP, 2x CAN F28234150No25668Yes12/64/2SPI, 3x SCI, I2C, 2x McBSP, 2x CAN F28232100No12836Yes12/44/2SPI, 2x SCI, I2C, McBSP, 2x CAN ?All devices above are 100% pin-compatible and 100% Software compatible ?All devices have 16/32-bit EMIF, 16 channel ADC at 12.5 MSPS, and 88 GPIO

F2823x Digital Signal Controller Processor Performance ?150 MHz ?Single-cycle 32-bit MAC ?6-channel DMA support for ADC, McBSP , EMIF Memory ?All on-chip flash and 32KB RAM protected by code security module ?16/32-bit EMIF supports async. SRAM, flash, ROM Control Peripherals ?PWM outputs interfaces for three 3-phase motors ?6 High-resolution PWM outputs ?Event captures can also provide PWM outputs ?88 total digital I/O pins TMS320F28235 Real-Time JTAG 32-bit Timers (3)C28x TM 32-bit DSC 32x32-bit Multiplier R M W Atomic ALU Interrupt Management Memory Bus Code security 12-bit ADC SPI 2 CAN 3 SCI 2 McBSP 512 KB Flash 68 KB RAM Peripheral Bus 2 QEP 6 CAP 18 PWM 6 HRPWM DMA 88 GPIO I2C Boot ROM 16/32-bit EMIF Silicon Available in 3Q07

DSP基础知识学习笔记

ELCE705 Digital Signal Processing Simulation Project Report 1 University of Macau Yibo Han MB-5-5548-4 Introduction In this project, I have finished the simulation of discrete signals such as impulse signals, step signals, and discrete-time cosine signals in different time and frequency domains, respectively. Then I did the simulation of average system, plotted the results and made contractive analysis. MATLAB Exercises Problem 1: Basic discrete sequences (a)The given signal is: x(n)=e jwn, n=1, 2, … 30(1-1-1) The value of w is known as 0.3. So we could use the function real and imag to draw the real part and imaginary part directly. The results will be two discrete curves. The program is shown as follows: n=(1:30);w=0.3; x=exp(j*w*n); subplot(2,1,1);stem(n,real(x)); title('Real parts'); xlabel('Time index n' );ylabel('Amplitude'); subplot(2,1,2);stem(n,imag(x));

给DSP初学者的一点建议和教材推荐

给DSP初学者的一点建议和教材推荐 自己从事DSP开发也有6-7个年头了,自己也总结了一些经验,今天可以和大家一起分享一下,互相学习,特别需要说明的是,以下所说的都是个人自己的看法,仅供参考,毕竟每个人的条件不一样,包括经济条件,学习能力和专业基础,以及智商水平等等,所以建议大家选择适合自己的经验和教材。 首先,我觉得初级者应该边看书边实践,可以从最简单的软件编程学起,先写最简单的C程序,然后SIMULATOR,接下来学习汇编,用你所学习的系列的汇编指令写代码(注意,不同公司的DSP甚至相同公司的DSP不同系列指令系统和开发流程有些不一样),一切都可以从简到难,再接下来,应该开始学写C和汇编混合编程,主义C调汇编和汇编调C之间的参数传递过程。可以通过单步跟踪调试和学习,这样整个的软件流程就清楚了。 第二,simulator掌握了之后,有能力的可以买块板和仿真器,现在TI系列的板子和仿真器很便宜,适合学生入门,ADI的太贵,单仿真器都要好几千。不建议使用。有了硬件环境后,可以学习写配置文件(linker文件,学习bios以及bootloader等等)。然后在板子上跑以前的simulator 跑的工程或者新写的代码。注意有中断的情况,已经中断嵌

套等等,可以通过单步跟踪调试和学习,此时要注意有中断的情况,单步跟踪可能有意外的情况。 第三,如果没有条件,但自己又想学硬件或者想从事硬件相关的,可以自己设计一个板子,可以从最简单的开始,这样一步一步的,基础就将打的很牢靠了,最开始可以画一个最小系统的就行,选一个最便宜的芯片,用作学习而已嘛!最后给推荐以下基本觉得还算可以的教材、在入门时教材的选择也很重要。特别是现在的书五花八门的,而且很大一部分书都是为了嫌书稿费而出的。写的水平很差,甚至出书作者没有过任何的DSP经验和基础,而是从这里抄一点,那里拷贝一点,这样的书太多,本人不敢恭维。甚至有些书还是某某院士所推荐的或者所写的,其实这些出书的初级者水平都不能达到。所以综合种种原因,如果你的英文水平可以的话,建议读英文原版本。如果觉得英文原版本不容易找到,可以到TI、ADI等官方网站上去DOWNLOAD资料。因为很多教材就是就是这些TI或者ADI公司的帮助文档的中文版(以下推荐的教材有些其实就是)。同时我觉得做DSP的,一定要把自己英文阅读能力提高,不然提高的速度就非常慢了。 1. 《dsp技术与应用开发》王茂飞/程昱编著清华大学出版社 2.《DSPs 原理及应用教程》,薛雷等编著清华大学出版社

TI-DSP入门学习

TI DSP入门学习 本FAQ是针对刚刚进入DSP硬件和软件设计领域的网友,希望能够帮助大家快速入门、在设计和调试时少走弯路。 水平有错误和不完善的地方,大家一定指出,免得流毒无穷。欢迎大家补充!! 1、TI DSP的选型 主要考虑处理速度、功耗、程序存储器和数据存储器的容量、片内的资源,如定时器的数量、I/O口数量、中断数量、DMA通道数等。 DSP的主要供应商有TI,ADI,Motorola,Lucent和Zilog等,其中TI占有最大的市场份额。TI公司现在主推四大系列DSP 1)C5000系列(定点、低功耗):C54X,C54XX,C55X相比其它系列的主要特点是低功耗,所以最适合个人与便携式上网以及无线通信应用,如手机、PDA、GPS等应用。处理速度在80MIPS--400MIPS之间。C54XX和C55XX一般只具有McBSP同步串口、HPI并行接口、定时器、DMA等外设。值得注意的是C55XX提供了EMIF外部存储器扩展接口,可以直接使用SDRAM,而C54XX则不能直接使用。两个系列的数字IO都只有两条。 2)C2000系列(定点、控制器):C20X,F20X,F24X,F24XX,C28x该系列芯片具有大量外设资源,如:A/D、定时器、各种串口(同步和异步),WATCHDOG、CAN总线/PWM 发生器、数字IO脚等。是针对控制应用最佳化的DSP,在TI所有的DSP中,只有C2000有FLASH,也只有该系列有异步串口可以和PC的UART相连。 3)C6000系列:C62XX,C67XX,C64X该系列以高性能著称,最适合宽带网络和数字影像应用。32bit,其中:C62XX和C64X是定点系列,C67XX是浮点系列。该系列提供EMIF 扩展存储器接口。该系列只提供BGA封装,只能制作多层PCB。且功耗较大。同为浮点系列的C3X中的VC33现在虽非主流产品,但也仍在广泛使用,但其速度较低,最高在150MIPS。 4)OMAP系列:OMAP处理器集成ARM的命令及控制功能,另外还提供DSP的低功耗实时信号处理能力,最适合移动上网设备和多媒体家电。 其他系列的DSP曾经有过风光,但现在都非TI主推产品了,除了C3X系列外,其他基本处于淘汰阶段,如:C3X的浮点系列(C30,C31,C32),C2X和C5X系列(C20,C25,C50),每个系列的DSP都有其主要应用领域。 2、设计中如何得到技术参考资料以及如何得到相关源码

DSP学习相关知识1-F28335结构、资源和性能

DSP学习相关知识1-F28335结构、资源和性能 2014年4月23日 16:33 1.DSP厂商:TI公司、ADI、motoloro。 2.C2000系列芯片主要应用与控制领域,C2812为定点运算。C28335为浮点运算。只有C2000系列才有Flash和异步串口。 3.DSP型号的含义:TMS320F28XX PGFA TMS:合格产品;TMX:试验产品;TMP:模型产品;TMX和TMP是出厂前的产品。 320:表示为DSP系列产品;430:为MCU系列产品;470:为ARM系列产品。 F:内部存储器为Flash;C:内部存储为ROM。 PGF:表示引脚数和封装 A:表示温度范围 4.F28335结构、资源和性能: a、主频为150MHz(采用30MHz晶振经过PLL倍频后生成150MHz主频,先1/2分频,然后10倍频。)。 b、内核供电电压1.9V,I/O供电电压为3.3V。 c、片内存储器:256K×16的Flash存储器,34K×16的SRRAM,1K× 16OTP(一次性可编程)ROM。程序在线调试时,程序可以下载到RAM,由于其掉电数据就会清除的特性,方便程序调试。当程序调试完毕后,可以下载到Flash中,Flash掉电程序不会丢失,DSP上电后可以从Flash加载程序。 d.128位密码保护模块,保护Flash/OTP/RAM,防止固件被盗取。秘钥不能全部为0,不然会锁死DSP。 f.3个32位定时器Timer。一般使用定时器0,定时器1和2保留。 g.模数转换模块ADC(Analog to Digital Converter)12位,16通道,采样率为12.5MSP。 模数转换的基本原理:模拟量在时间上是连续的,数字信号是离散,将模拟信号转换为数字信号需要经过采样、保持、量化、编码四步完成,也可以将采样和保持合二为一,将量化和编码合二为一,分为两步完成模数转换。 采样就是对模拟信号进行定时测量,定时根据采样频率而定,采样完成后将信号保持一段时间,使A/D转换器有充足时间完成转换。采样频率越高,采样-保持电路输出信号波形越接近输入波形。 DSP芯片AD引脚默认为高阻状态,如果不使用的AD引脚不接地,它的值时随机的,会导致误差,因此不使用的AD引脚一定要接地。 AD保护措施: 钳位电路,VCC一般为3-3.3V,R为分压电阻,当AD采样输出电压大于VCC 时,则二极管D1导通,DSP AD输入电压为VCC,当AD采样输出电压小于0V 时,二极管D2导通,DSP AD输入电压为0V,这样就可以把AD信号输入限制在0-VCC之间,从而保护DSP AD模块。

DSP学习总结

DSP学习总结 摘要:本总结介绍了数字信号技术(DSP)的基本结构,特点,发展及应用现状。通过分析与观察,寄予了DSP美好发展前景的希望。 关键字:数字信号处理器,DSP,特点,应用 1 DSP介绍 数字信号处理简称DSP,是进行数字信号处理的专用芯片,是伴随着微电子学、数字信号处理技术、计算机技术的发展而产生的新器件,是对信号和图像实现实时处理的一类高性能的CPU。所谓“实时实现”,是指一个实际的系统能在人们听觉、视觉或按要求所允许的时间范围内对输入信号进行处理,并输出处理结果。 数字信号是利用计算机或专用的处理设备,以数值计算的方式对信号进行采集、变换、综合、估计与识别等加工处理,从而达到提取信息和方便应用的目的。数字信号处理的实现是以数字信号处理理论和计算技术为基础的。 2 结构 32位的C28xDSP整合了DSP和微控制器的最佳特性,能够在一个周期内完成32*32位的乘法累加运算。 所有的C28x芯片都含一个CPU、仿真逻辑以及内存和片内外设备的接口信号(具体结构图见有关书籍)。CPU的主要组成部分有: 程序和数据控制逻辑。该逻辑用来从程序存储器取回的一串指令。 实时和可视性的仿真逻辑。 地址寄存器算数单元(ARAU)。ARAU为从数据存储器取回的数据分配地址。 算术逻辑单元(ALU)。32位的ALU执行二进制的补码布尔运算。 预取对列和指令译码。 为程序和数据而设的地址发生器。 定点MPY/ALU。乘法器执行32位*32位的二进制补码乘法,并产生64位的计算结果。 中断处理。 3 特点 采用哈佛结构。传统的冯·诺曼结构的数据总线和指令总线是公用的,因此在高运算时在传输通道上会出拥堵现象。而采用哈佛结构的DSP 芯片片内至少有4 套总线:程序的地址总线与数据总线,数据的地址总线与数据总线。由于这种结构的数据总线和程序总线分离,从而在一个周期内同能时获取程序存储器内的指令字和数据存储器内的操作数,提高了执行速度。 多单元的并行处理技术。DSP 内部一般都集成了多个处理单元,比如ARAU(地址寄存器算术单元),MUL(硬件乘法器),ALU(算术逻辑单元),ACC (累加器),DMA 控制器等。它们可在同一个周期内并行地执行不同的任务。 采用了超流水线技术。DSP芯片的哈佛结构就是为实现流水线技术而设计的。采用流水线技术可使DSP芯片单周期完成乘法累加运算,极大地提高了运算速度。

dsp学习心得体会_1

dsp学习心得体会 篇一:dSP学习总结 dSP学习总结 摘要:本总结介绍了数字信号技术(dSP)的基本结构,特点,发展及应用现状。通过分析与观察,寄予了dSP美好发展前景的希望。关键字:数字信号处理器,dSP,特点,应用 1dSP介绍 数字信号处理简称dSP,是进行数字信号处理的专用芯片,是伴随着微电子学、数字信号处理技术、计算机技术的发展而产生的新器件,是对信号和图像实现实时处理的一类高性能的cPU。所谓“实时实现”,是指一个实际的系统能在人们听觉、视觉或按要求所允许的时间范围内对输入信号进行处理,并输出处理结果。 数字信号是利用计算机或专用的处理设备,以数值计算的方式对信号进行采集、变换、综合、估计与识别等加工处理,从而达到提取信息和方便应用的目的。数字信号处理的实现是以数字信号处理理论和计算技术为基础的。 2结构 32位的c28xdSP整合了dSP和微控制器的最佳特性,能够在一个周期内完成32*32位的乘法累加运算。 所有的c28x芯片都含一个cPU、仿真逻辑以及内存和片内外设备的

接口信号(具体结构图见有关书籍)。cPU的主要组成部分有: 程序和数据控制逻辑。该逻辑用来从程序存储器取回的一串指令。实时和可视性的仿真逻辑。 地址寄存器算数单元(aRaU)。aRaU为从数据存储器取回的数据分配地址。算术逻辑单元(aLU)。32位的aLU执行二进制的补码布尔运算。 预取对列和指令译码。 为程序和数据而设的地址发生器。 定点mPY/aLU。乘法器执行32位*32位的二进制补码乘法,并产生64位的计算结果。中断处理。 3特点 采用哈佛结构。传统的冯·诺曼结构的数据总线和指令总线是公用的,因此在高运算时在传输通道上会出拥堵现象。而采用哈佛结构的dSP 芯片片内至少有4套总线:程序的地址总线与数据总线,数据的地址总线与数据总线。由于这种结构的数据总线和程序总线分离,从而在一个周期内同能时获取程序存储器内的指令字和数据存储器内的操作数,提高了执行速度。 多单元的并行处理技术。dSP内部一般都集成了多个处理单元,比如aRaU(地址寄存器算术单元),mUL(硬件乘法器),aLU(算术逻辑单元),acc(累加器),dma控制器等。它们可在同一个周期内并行地执行不同的任务。 采用了超流水线技术。dSP芯片的哈佛结构就是为实现流水线技术而

详细手把手教你学dsp教程学习笔记

1、cj 431电压基准芯片,2.5v 2、电平标准 3、驱动器74HC245(为增强PWM的驱动负载能力) 4、增加输入端的抗干扰能力:在芯片的输入端加上拉电阻加电源或者下拉电阻 接地,没有用到的采样端口尽量不要悬空。 5、D/A电路方案

通用扩展语言GEL和c相似,但是有所不同。GEL语言是C语言的一个子集。与c语言不同的是gel不需要指定函数返回值的类型。Gel中的while语句和c中的while语句相同,gel不支持标准c的break和continue语句 6、一个完整的dsp工程包括头文件(.h),库文件(.lib),源文件(.c),以 及配置文件.Cmd 使用关键字MENUITEM可以在gel菜单下选择用户自定义的菜单项,然后用hotmenu、dialog或slider等关键字,在这个新的下拉菜单中添加新的菜单项。 7、关于添加文件遇到的问题

8、变量查找:edit→find:Ctrl+f 9、调试时,添加断点的快捷方法如下 点击图上的小手即可 10、单步调试 第一个的意思是单击一步走一步,第二个的意思是指在单步运行时遇到子函数不进入子函数内部进行而是把它当成一步来执行,第三个的意思是当执行到子函数内部时使用这个按钮就可以执行完剩下的部分返回上一层函数。 如果想在一个窗口内同时看到c和汇编,则有操作View→mixed source/asm 11、观察变量 右击变量→add to watch window 可以得到变量的值、类型、地址等。 12、位域定义、结构体以及共同体的知识

结构体先定义再声明 Union SCIFFCT_REG { Uint16 all; Struct SCIFFCT_BITS bit; }; //--------------------------------------------------------------------------- // SCI Register File: // Struct SCI_REGS { Union SCICCR_REG SCICCR; // Communications control register Union SCICTL1_REG SCICTL1; // Control register 1 Uint16 SCIHBAUD; // Baud rate (high) register Uint16 SCILBAUD; // Baud rate (low) register Union SCICTL2_REG SCICTL2; // Control register 2 Union SCIRXST_REG SCIRXST; // Receive status register

献给初学者-DSP入门教程

前言: 此资料也是来源于网络,并不是我们,但是希望这些资料能够给初学DSP 的朋友们一点帮助,也希望你们能够把这里当成是你们学习DSP技术的一个家园,让我们携手共建,为更多的朋友创造学习的条件~ 1、TI DSP的选型 主要考虑处理速度、功耗、程序存储器和数据存储器的容量、片内的资源,如定时器的数量、I/O口数量、中断数量、DMA通道数等。DSP的主要供应商有TI,ADI,Motorola,Lucent和Zilog等,其中TI占有最大的市场份额。 TI公司现在主推四大系列DSP 1)C5000系列(定点、低功耗): C54X,C54XX,C55X 相比其它系列的主要特点是低功耗,所以最适合个人与便携式上网以及无线通信应用,如手机、P DA、GPS等应用。处理速度在80MIPS--400MIPS之间。C54XX和C55XX 一般只具有McBSP同步串口、HPI并行接口、定时器、DMA等外设。值得注意的是C55XX提供了EMIF外部存储器扩展接口,可以直接使用SDRAM,而C54XX 则不能直接使用。两个系列的数字IO都只有两条。 2)C2000系列(定点、控制器): C20X,F20X,F24X,F24XX ,C28x该系芯片具有大量外设资源,如: A/ D、定时器、各种串口(同步和异步),WATCHDOG、CAN总线/PWM发生器、数字IO脚等。是针对控制应用最佳化的DSP,在TI所有的DSP中,只有 C2000有FLASH,也只有该系列有异步串口可以和PC的UART相连。 3)C6000系列: C62XX,C67XX,C64X 该系列以高性能著称,最适合宽带网络和数字影像应用。32bit,其中:

相关文档