文档库 最新最全的文档下载
当前位置:文档库 › 34卷积码编码原理分析与建模仿真

34卷积码编码原理分析与建模仿真

34卷积码编码原理分析与建模仿真
34卷积码编码原理分析与建模仿真

3/4卷积码编码原理分析与建模仿真

一、摘要

卷积码是一种性能优越的信道编码。它的编码器和译码器都比较容易实现,同时它具有较强的纠错能力。随着纠错编码理论研究的不断深入,卷积码的实际应用越来越广泛。本文简明地介绍了卷积码的编码原理和Viterbi译码原理。并在SIMULINK模块设计中,完成了对卷积码的编码和译码以及误比特统计整个过程的模块仿真。最后,通过在仿真过程中分析了卷积码误比特率与信噪比之间的关系,及卷积码与非卷积码的对比。经过仿真和实测,并对测试结果作了分析。

关键词:卷积码编码建模 SIMULINK仿真

目录

一、摘要 ................................................................................................................................................................. - 1 -

二、设计目的和意义 ............................................................................................................................................. - 2 -

三、设计原理 ......................................................................................................................................................... - 3 -

3.1 卷积码基本概念 ...................................................................................................................................... - 3 -

3.2 卷积码的结构 .......................................................................................................................................... - 3 -

3.3 卷积码的解析表示 .................................................................................................................................. - 4 -

3.4 卷积码的译码 .......................................................................................................................................... - 4 -

3.4.1 卷积码译码的方式........................................................................................................................ - 4 -

3.5.2 卷积码的Viterbi译码 .................................................................................................................. - 5 -

四、详细设计步骤 ................................................................................................................................................. - 6 -

4.1 卷积码的仿真 .......................................................................................................................................... - 6 -

4.1.1 SIMULINK仿真模块的参数设置及意义 ................................................................................. - 6 -

五、设计结果及分析 ........................................................................................................................................... - 11 -

5.1不同信噪比对卷积码的影响.................................................................................................................. - 11 -

5.2卷积码的对比 ........................................................................................................................................ - 12 -

六、总结 ............................................................................................................................................................... - 14 -

七、体会 ............................................................................................................................................................... - 14 -

八、参考文献 ....................................................................................................................................................... - 14 -

二、设计目的和意义

因为信道中信号不可避免会受到干扰而出错。为实现可靠性通信,主要有两种途径:一种

是增加发送信号的功率,提高接收端的信号噪声比;另一种是采用编码的方法对信道差错进行控制。前者常常受条件限制,不是所有情况都能采用。而编码理论可以解决这个问题,使得成本降低,实用性增强。

随着现代通信的发展,卷积码以其高速性和可靠性在实际应用中越来越广泛。1967年Viterbi译码算法的提出,使卷积码成为信道编码中最重要的编码方式之一。在卷积码中,因为Viterbi算法效率高,速度快,结构相对简单等特点,被广泛应用于各种数据传输系统。特别是深空通信、卫星通信系统中。因此采用Viterbi译码算法具有非常现实的意义。

三、设计原理

本文在分析卷积码编译码器原理的基础上,通过MATLAB的SIMULINK模块对卷积编码、解码进行仿真。通过仿真可以更清楚的认识到卷积码的编码,解码的各个环节,并对仿真结果进行了分析。得出卷积码Viterbi译码的误比特性能的分析,从而验证了卷积码的正确性。

3.1 卷积码基本概念

卷积码是一种性能优越的信道编码。(n ,k ,N) 表示把k个信息比特编成n个比特,N为编码约束长度,说明编码过程中互相约束的码段个数。卷积码编码后的n 个码元不仅与当前组的k个信息比特有关,而且与前N - 1个输入组的信息比特有关。编码过程中相互关联的码元有N ×n个。R = k/n是卷积码的码率,码率和约束长度是衡量卷积码的两个重要参数。

卷积码的编码描述方式有很多种:冲激响应描述法、生成矩阵描述法、多项式乘积描述法、状态图描述,树图描述,网格图描述等。卷积码的纠错能力随着N的增加而增大,而差错率随着N的增加而指数下降。在编码器复杂性相同的情况下,卷积码的性能优于分组码。分组码的译码算法可以由其代数特性得到。卷积码虽然可以采用适用于分组码的门限译码(即大数逻辑译码),但性能不如维特比译码和序列译码。

3.2 卷积码的结构

图2-1

卷积码的编码器一般都比较简单。图2-1是一般情况下的卷积码编码器框图。它包括:一个由N段组成的输入移位寄存器,每段有k级,共Nk位寄存器;一组n个模2和相加器;一

个由n级组成的输出移位寄存器。对应于每段k个比特的输入序列,输出n个比特。由图可知,n个输出比特不但与当前k个比特的输入比特有关,而且与以前的(N-1)k个输入信息有关。整个编码过程可以看成是输入信息序列与由移位寄存器和模2加法器的连接方式所决定的另

一个序列的卷积,卷积码由此得名。

输入序列

输出序列

图2-2

如图2-2是卷积码(4,3,2)卷积编码器的一个框图。左边是信息的输入。下面分别是系统位输出和校验位输出。其中间是6个移位寄存器和4个模2加法器。简单的说就是信息位经过移位寄存器和一个模2加法器产生一个系统位和校验位加在一起输出。可以看出:每输入一个比特,移位寄存器中就向右移动一个位子。原来的第四个寄存器就被移出。可见卷积编码不只与现在的输入比特有关还与前面的1个比特有关。在这里,其中约束长度是N=2,k=3 ,n=4所以码率R=k/n=3/4。

3.3 卷积码的解析表示

除上述图解表示方法外,常常还用解析表示方法描述卷积码,即延时算子多项式。在延时算子多项式表示中,编码器中的移位寄存器与模2加法器的连接关系以及输入、输出序列都表示为延时算子D 的多项式。在一般情况下,输入序列可表示为:

...M(D)2321D m D m m ++= (3-1)

变量D 的幂的次数等于相对于时间起点的单位延时数目,时间起点通常选在第1个输出比特,ml,m2,m3,m...为输入比特的二进制表示(1或0)。用D 算子多项式表示移位寄存器各级与各模2项连接关系时。若某级寄存器与某模2和相连,则多项式中相应项的系数为1,否则为0(表示无连接)。

通常把表示移位寄存器与模2和连接关系的多项式称为生成多项式,因为由它们可以用多项式相乘计算出输出序列。卷积码的图解与解析表示方法各有特点。用延时算子多项式表示卷积码编码器的生成多项式最为方便。网格图对于分析卷积码的译码算法十分有用。

3.4 卷积码的译码

3.4.1 卷积码译码的方式

卷积码的译码方式有三种:(1)1963年由梅西((Massey)提出的门限译码,这是一种基于码代数结构的代数译码,类似于分组码中的大数逻辑译码;(2) 1963年由费诺(Fano)改进的序列译码,这是基于码的树状图结构上的一种准最佳的概率译码;(3) 1967年由维特比提出的

Viterbi算法。这是基于码的网(trellis)图基础上的一种最大似然译码算法,是一种最佳的概率译码方法。其中,代数译码,利用编码本身的代数结构进行译码,不考虑信道本身的统计特性。该方法的硬件实现简单,但性能较差,其中具有典型意义的是门限译码。另一类是概率译码,这种译码通常建立在最大似然准则的基础上。由于计算是用到了信道的统计特性.因而提高了译码性能,但这种性能的提高是以增加硬件的复杂度为代价的。常用的概率译码方法有维特比译码和序列译码。维特比译码具有最佳性能,但硬件实现复杂;门限译码性能最差,但硬件简单;序列译码在性能和硬件方面介于维特比译码和门限译码之间。

3.5.2 卷积码的Viterbi译码

卷积码概率译码的基本思路是:以接收码流为基础,逐个计算它与其他所有可能出现的、连续的网格图路径的距离,选出其中可能性最大的一条作为译码估值输出。概率最大在大多数场合可解释为距离最小,这种最小距离译码体现的正是最大似然的准则。卷积码的最大似然译码与分组码的最大似然译码在原理上是一样的,但实现方法上略有不同。主要区别在于:分组码是孤立地求解单个码组的相似度,而卷积码是求码字序列之间的相似度。基于网格图搜索的译码是实现最大似然判决的重要方法和途径。用格图描述时,由于路径的汇聚消除了树状图中的多余度,译码过程中只需考虑整个路径集合中那些使似然函数最大的路径。如果在某一点上发现某条路径已不可能获得最大对数似然函数,就放弃这条路径,然后在剩下的“幸存”路径中重新选择路径。这样一直进行到最后第L级(L为发送序列的长度)。由于这种方法较早地丢弃了那些不可能的路径,从而减轻了译码的工作量,Viterbi译码正是基于这种想法。对于(n, k, K )卷积码,其网格图中共2kL种状态。由网格图的前K-1条连续支路构成的路径互不相交,即最初2k_1条路径各不相同,当接收到第K条支路时,每条路径都有2条支路延伸到第K级上,而第K级上的每两条支路又都汇聚在一个节点上。在Viterbi译码算法中,把汇聚在每个节点上的两条路径的对数似然函数累加值进行比较,然后把具有较大对数似然函数累加值的路径保存下来,而丢弃另一条路径,经挑选后第K级只留下2K条幸存路径。选出的路径同它们的对数似然函数的累加值将一起被存储起来。由于每个节点引出两条支路,因此以后各级中路径的延伸都增大一倍,但比较它们的似然函数累加值后,丢弃一半,结果留存下来的路径总数保持常数。由此可见,上述译码过程中的基本操作是,“加-比-选”,即每级求出对数似然函数的累加值,然后两两比较后作出选择。有时会出现两条路径的对数似然函数累加值相等的情形,在这种情况下可以任意选择其中一条作为“幸存”路径。

卷积码的编码器从全零状态出发,最后又回到全零状态时所输出的码序列,称为结尾卷积码。因此,当序列发送完毕后,要在网格图的终结处加上(K-1)个己知的信息作为结束信息。在结束信息到来时,由于每一状态中只有与已知发送信息相符的那条支路被延伸,因而在每级比较后,幸存路径减少一半。因此,在接收到(K-1)个己知信息后,在整个网格图中就只有唯一的一条幸存路径保留下来,这就是译码所得的路径。也就是说,在己知接收到的序列的情况下,这条译码路径和发送序列是最相似的。

由上述可见,Viterbi译码过程并不复杂,译码器的运行是前向的、无反馈的。它接收一段,计算一段,选择一段最可能的码段(分支),从而达到整个码序列是一个有最大似然函数的序列。传输序列很长时,判决需要的长延时和相当大的存储量是我们无法承受的。

因此,实际应用中采用截短Viterbi算法,即不需要接收到所有序列才进行判决,当译码器接收并处理完了固定的T (T <

四、详细设计步骤

4.1 卷积码的仿真

图4-1卷积码的编码译码框图

如上图4-1的信号流程可以表示为先由Bernoulli Binary Generator(贝努利二进制序列产生器)产生一个0,1等概序列,经过Convolutional Encoder(卷积编码器)对输入的二进制序列进行卷积编码,并用BPSK调制方式调制信号。加入信道噪声(高斯白噪声)后再经过BPSK解调制后送入Viterbi Decoder(Viterbi译码器)进行硬判决译码。最后经过Error Rate Calculation(误码统计)后由Display(显示)输出。然后通过Selector(数据选通器)将结果输出到To workspace(工作区间)。

4.1.1 SIMULINK仿真模块的参数设置及意义

在建立如图4-1的仿真模块后,对各个模块分别一一进行设置后并运行仿真。

图4-2贝努利二进制序列产生器模块的设置框图

如上图4-2是贝努利二进制序列产生器模块的设置框图,其中参数有三项:第一项probability of a zero取值为0.5,表示0和1出现的概率相等。Initial seed 表示随机种子数。不同的随机种子数将产生不同的二进制序列,特定的随机种子数可以产生一个特定的二进制序列。Sample time=0.0001表示抽样时间,也就是说输出序列中每个二进制符号的持续时间是0.0001秒。Samples per frame 表示每帧的抽样数用来确定每帧的抽样点的数目。Output date type 是用来确定帧的输出格式。

图4-3卷积编码器模块的设置框图

如上图4-3是卷积编码器模块的设置框图。其中Trellis structure( Trellis结构)中通过poly2trellis()函数把卷积码的约束长度,生成多项式以及反馈多项式转换成Trellis 结构的形式。

图4-4 BPSK调制器模块的设置框图

如上图4-4是BPSK调制器模块的设置框图。Phase offset(rad)(相位偏移),这里设置为0。

图4-5误比特统计模块的设置框图

如上图4-5是误比特统计模块的设置框图。其中Receive delay表示接收延迟,意思是在通信接收端口需要对接收到的信号进行解调,解码或解交织而带来一定的延迟,使得到达误码统计模块接收端的信号滞后于发送端的信号。为了补偿这种延迟这里设置为0。Computation delay表示计算延迟,在仿真过程中,有时间需要忽略最初的若干个输入数据就通过计算延迟来实现。这里设置为0。Computation mode表示计算模式,帧的计算模式(Entire frame),误码统计模块对发送端和接收端的所有输入数据进行统计。output data是输出数据,这里设置为Port的意思是表示把统计数据从端口中输出。workspace表示把统计数据输出到工作区。

图4-6 数据选通器模块的设置框图

如上图4-6是数据选通器模块的设置框图。Input port width表示输入端口的个数为3。

图4-7卷积码译码器模块的设置框图

如上图4-7是卷积码译码器模块的设置框图。Trellis structure: Trellis 结构(前面已说明)。Decision type 是指判决类型,有3种:(1)Unquantized (非量化)(2)Hard Decision (硬判决),(3)Soft Decision (软判决) Traceback depth 表示反馈深度。它的值会影响译码精度和解码延迟。Operation mode 是指操作模式。在Truncated 模式下,解码器在每帧数据结束的时候总能恢复到全0状态,它与卷积编码器的on each frame 复位方式相对应。

五、设计结果及分析

5.1不同信噪比对卷积码的影响

如下图4-10,对于码率一定的卷积码,当信道信噪比发生变化时,系统的误码性能也会随之发生变化, 我们以码率R = 3/4的卷积码为例展开分析。从图5-1中的误比特率曲线可以看到,当码率为3/4一定时,在SNR>-2dB 时,系统的误比特率迅速下降。

-

10-10-10-10-100误码率随信噪比的变化图

图5-1不同的信噪比卷积码误码性能的影响

分析不同约束长度对卷积码误码性能影响用到的程序如下:

clc;

x=-10:5;%x 表示信噪比

y=x;%y 表示信号的误比特率

hold off;%准备一个空白图形

for i=1:length(x)

SNR=x(i); %信道的信噪比依次取x 中的元素

sim('convh'); %运行仿真程序,得到的误比特率保存在工作区变量BitErrorRate

y(i)=mean(BitErrorRate); %计算BitErrorRate 的均值作为本次仿真的误比特率

end

semilogy(x,y);%绘制x 和y 的关系曲线图,纵坐标采用对数坐标

grid on,title('误码率随信噪比的变化图')

hold on%保持已经绘制的图

5.2卷积码的对比

如图5-2所示结果,在信噪比超过10dB 之后,卷积编码传输与非卷积编码传输的误比特率基本相等,而在SNR<10时,卷积编码的传输误比特率远小于非卷积编码传输的情况。

00.1

0.2

0.3

0.4

0.5

0.6

0.7误码率对比图

图5-2卷积码与非卷积码误码率对比图

卷积码与非卷积码误码率对比的程序如下:

SNR=1:0.5:15; %信噪比取值;单位为db\

Ns=10;

datalength=256; %每个数据符号中可用子载波

error_bit_rata_code=0; %经卷积编码后解调出的数据的误比特率

error_bit_rata_nocode=0; %未经卷积编码后解调出的数据的误比特率

for i=1:1:29

Signal=double(rand(1,datalength*Ns)>0.5);

trel = poly2trellis(7,[155 117]);

code = convenc(Signal,trel);

%进行串并转化

Para=reshape(code,datalength,2*Ns);

%进行QPSK数据调制,将数据分为两个通道,SigPara为datalength行2Ns列for j=1:Ns

s1(:,j)=Para(:,2*j-1);%ich为datalength行Ns列

s2(:,j)=Para(:,2*j);

end

kmod=1./sqrt(2);

s1=s1.*kmod;

s2=s2.*kmod;

x=s1+s2.*sqrt(-1); % 产生复信号

y=ifft(x); %通过傅立叶反变换,将频域数据转换为时域数据

ich2=real(y); %I信道取变换后的实部

qch2=imag(y); %Q信道取变换后的虚部

ich4=reshape(ich2,1,datalength*Ns);

qch4=reshape(qch2,1,datalength*Ns);

TrData=ich4+qch4.*sqrt(-1);

% 加入高斯白噪声

ReData=awgn(TrData,SNR(i),'measured');

idata=real(ReData);

qdata=imag(ReData);

%进行串并转化

idata1=reshape(idata,datalength,Ns);

qdata1=reshape(qdata,datalength,Ns);

Rex=idata1+qdata1.*sqrt(-1);

ry=fft(Rex);

ReIChan=real(ry);

ReQChan=imag(ry);

ReIChan=ReIChan/kmod;

ReQChan=ReQChan/kmod;

for j=1:Ns

RePara(:,2*j-1)=ReIChan(:,j);

RePara(:,2*j)=ReQChan(:,j);

end

ReSig=reshape(RePara,1,datalength*Ns*2);

%符号抽样判决

ReSig=double(ReSig>0.5);

%维特比译码

tblen= log2(trel.numInputSymbols)

[d m p in] =vitdec(ReSig,trel,tblen,'cont','soft',1);

%统计错误比特数,并计算误比特率

error_bit_code=sum(abs(d(2:datalength*Ns)-Signal(1:datalength*Ns-1))) ;

error_bit_nocode(i)=sum(abs(ReSig-code));

error_bit_rata_code1=error_bit_code/length(Signal);

error_bit_rata_code(i)=error_bit_rata_code1;

error_bit_rata_nocode(i)=error_bit_nocode(i)/2/length(Signal);

end

figure(1);

plot(SNR,error_bit_rata_nocode,'-ro',SNR,error_bit_rata_code,'-.b');

grid on,title('误码率对比图')

h=legend('没有卷积','卷积',1);

grid on;

六、总结

本文在分析卷积码编译码器原理的基础上,通过基于MATLAB的SIMULINK对卷积编码、解码进行仿真。通过仿真可以更清楚的认识到卷积码的编码,解码的各个环节,并对仿真结果进行了分析。得出卷积码Viterbi译码的误比特性能的分析,从而验证了卷积码的正确性。七、体会

刚开始接触到卷积码的设计我感觉设计任务很重。以前没有接触到,课上也没有做过讲解。慢慢自己根据课本进行学习。我又通过在互联网上查找资料和向老师请教咨询,总算把此次设计完成了。尤其是秦老师的指导,使我在Simulink仿真中收获很多,通过此次的课程设计我在matlab仿真上进步了很多。

理论方面,在深入了解了用于卷积码的Viterbi译码算法基本原理后,进一步将其拓展到SIMULINK模块仿真方面的应用,对卷积码编码译码有了一个系统的认识。经过很多次的尝试我终于完成了这次课程设计。这次课程设计我了解了很多课本中没有的东西。包括MATLAB软件的使用、模块的数据调试。我获益良多,我会继续努力,学习更多的知识。

八、参考文献

[1]孙祥,徐流美,吴清. Matlab7.0基础教程.清华大学出版社,2005.5

[2] 曹志刚、钱亚生.现代通信原理.清华大学出版社,2013.11

[3] Rodger E.Ziemer,Roger L.Peterson著. 尹长川,郝建军,罗涛等译. 数字通信基础 (Introduction to Digital Communication). 原书第2版.机械工业出版社,2005.1

[4] 樊昌信,张甫翊,徐炳祥,吴成柯. 通信原理.第5版.国防工业出版社,2007.1

[5] 邓华. Matlab通信仿真及应用实例详解.人民邮电出版社,2003.9

[6]陈国通. 数字通信.哈尔滨工业大学出版社,2002.4

基于matlab的2-3卷积码编码译码设计与仿真

西南科技大学 方向设计报告 课程名称:通信工程方向设计 设计名称:2/3卷积码编译码器仿真与性能分析 姓名: 学号: 班级: 指导教师: 起止日期:2011.12.12-2012.1.6 西南科技大学信息工程学院制

方向设计任务书 学生班级:学生姓名:学号: 设计名称:2/3卷积码编译码器仿真与性能分析 起止日期:2011.12.12-2012.1.6指导教师: 设计要求: (1)分析2/3卷积码编码器结构; (2)分析2/3卷积码译码的Viterbi算法; (3)基于SIMULINK进行2/3卷积码的纠错性能仿真; 方向设计学生日志 时间设计内容 12.15-12.17 查看题目及设计要求。 12.18-12.23 查阅相关资料,设计方案。 12.23-12.27 编写报告及调试程序。 12.28-12.29 完善修改课程设计报告。 12.30-12.31 答辩。

方向设计考勤表 周星期一星期二星期三星期四星期五 方向设计评语表 指导教师评语: 成绩:指导教师: 年月日

2/3卷积码编译码器仿真与性能分析 摘要: 卷积码是一种性能优越的信道编码。它的编码器和译码器都比较容易实现,同时它具有较强的纠错能力。随着纠错编码理论研究的不断深入,卷积码的实际应用越来越广泛。本文简明地介绍了卷积码的编码原理和Viterbi译码原理。并在SIMULINK模块设计中,完成了对卷积码的编码和译码以及误比特统计整个过程的模块仿真。最后,通过在仿真过程中分别改变卷积码的重要参数来加深理解卷积码的这些参数对卷积码的误码性能的影响。经过仿真和实测,并对测试结果作了分析。 关键词: 卷积码编码器、viterbi译码器、SIMULINK

卷积码的编解码Matlab仿真

卷积码的编解码Matlab仿真摘要 卷积码是一种性能优越的信道编码。它的编码器和译码器都比较容易实现,同时它具有较强的纠错能力D随着纠错编码理论研究的不断深入,卷积码的实际应用越来越广泛。本文简明地介绍了卷积码的编码原理和译码原理o并在SIMULINK模块设计中,完成了对卷积码的编码和译码以及误比特统计整个过程的模块仿真。最后,通过在仿真过程中分别改变卷积码的重要参数来加深理解卷积码的这些参数对卷积码的误码性能的影响。经过仿真和实测,并对测试结果作了分析。得出了以下三个结论z (1)当改变卷积码的码率时,系统的误码性能也将随之发生变化。 (2)对于码率一定的卷积码,当约束长度N发生变化时,系统的误码性能也会随之发生变化。 (3)回溯长度也会不同程度上地影响误码性能。 关键词:卷积码:码率:约束长度:回溯长度

Simulation and Research on Encoding and Decoding of Convolution Code Abstract Convolution code has a superior performance of the channel code. It is easy to coding and decoding.An d it has a strong ability to correct e盯ors. As correcting coding theory has a long development,the practice of convolution code is more and more extensive.In由1S由esis,the principle of convolution coding and decoding is introduced simply白rstly. Then由e whole simulation module process of encoding,decoding and the Error Rate Calculation is completed in由is design. Finally,in order to understand 由eir performances of error rate,many changes in parameters of convolution code are calculated in the simulation process.Af ter simulation and me皿UTe,an analysis of test results is presented.Th e following由ree conclusions are draw: (l)Wh en the rate of convolution Code ch皿ges,HER performance of the systemwill change. (2) For a certain rate of convolution code,when由ere is a change in the constraint length of N,BER perfonnance of由e system will change. (3) Re位ospec咀ve length will affect BE R. Key words: convolution code; rate; cons缸aint leng由; retrospective length;

卷积码的编码及解码Viterbi解码Word版

卷积码的编码及解码(Viterbi 解码) 一、实验目的 1、了解卷积码的基本原理; 2、掌握卷积码编码的电路设计方法; 2、掌握卷积码 Viterbi 译码的基本方法和电路设计方法。 二、实验仪器 1、移动通信实验箱一台; 2、台式计算机一台; 三、实验原理 1.卷积码编码原理 卷积码是一个有限记忆系统,它也将信息序列切割成长度 k的一个个分组,与分组码不 同的是在某一分组编码时,不仅参看本时刻的分组而且参看本时刻以前的 L 个分组。我们把 L+1 称为约束长度。 2.卷积码的译码算法(硬判决 Viterbi 译码) Viterbi译码算法是一种最大似然算法,它不是在网络图上依次比较所有可能的路径, 而是接收一段,计算,比较一段,保留最有可能的路径,从而达到整个码序列是一个最大似然序列。Viterbi解码算法的基本步骤如下: 1、从某一时间单位j=m开始,对进入每一状态的所有长为j段分支的部分路径,计算部分路径度量。对每一状态,挑选并存储一条有最大度量的部分路径及 其部分度量,称此部分路径为留选(幸存)路径。 2、j增加1,把此时刻进入每一状态的所有分支度量,和同这些分支相连的前一时刻的留选路径的度量相加,得到了此时刻进入每一状态的留选路径,加以存储并删去其他所有的路径。因此留选路径延长了一个分支。 3、若j

基于MATLAB的卷积码的分析与应用

基于MATLAB的卷积码的分析与应用

毕业设计(论文)任务书

基于MATLAB的卷积码的分析与应用 摘要 随着现代通信的发展,特别是在未来4G通信网络中,高速信息传输和高可靠性传输成为信息传输的两个主要方面,而可靠性尤其重要。因为信道状况的恶劣,信号不可避免会受到干扰而出错。为实现可靠性通信,主要有两种途径:一种是增加发送信号的功率,提高接收端的信号噪声比;另一种是采用编码的方法对信道差错进行控制。前者常常受条件限制,不是所有情况都能采用。因此差错控制编码得到了广泛应用。 介绍了多种信道编码方式,着重介绍了卷积码的编码方法和解码方式。介绍了MATLAB的使用方法、编程方法、语句、变量、函数、矩阵等。介绍了TD-SCDMA通信系统和该系统下的卷积码,搭建了系统通信模型。编写卷积码的编码和解码程序。用MATLAB仿真软件对TD-SCDMA系统的卷积码编解码进行仿真。对其纠正错码性能进行验证,并且对误码率进行仿真和分析。卷积码的编码解码方式有很多,重点仿真Viterbi算法。Viterbi算法就是利用卷积码编码器的格图来计算路径度量,选择从起始时刻到终止时刻的惟一幸存路径作为最大似然路径。沿着最大似然路径回溯到开始时刻,所走过的路径对应的编码输出就是最大似然译码输出序列。它是一种最大似然译码方法,当编码约束长度不大、或者误码率要求不是很高的情况下,Viterbi译码器设备比较简单,计算速度快,因而Viterbi译码器被广泛应用于各种领域。 关键词:卷积码;信道编码;TD-SCDMA;MATLAB

目录 毕业设计(论文)任务书 ............................................................................................I 摘要........................................................................................................................... II Abstract......................................................................................... 错误!未定义书签。第1章绪论 . (1) 1.1课题研究的背景和来源 (1) 1.2主要内容 (2) 第2章相关理论介绍 (3) 2.1信道编码 (3) 2.1.1 信道编码的分类 (3) 2.1.2 编码效率 (3) 2.2线性分组码 (3) 2.3循环码 (5) 2.4卷积码 (6) 2.4.1 卷积码简介 (7) 2.4.2 卷积码的编码 (7) 2.4.3 卷积码的解码 (13) 第3章MATLAB应用 (21) 3.1数和算术的表示方法 (21) 3.2向量与矩阵运算 (21) 3.2.1 通过语句和函数产生 (21) 3.2.2 矩阵操作 (22) 3.3矩阵的基本运算 (22) 3.3.1 矩阵乘法 (22) 3.3.2 矩阵除法 (23) 3.4MATLAB编程 (23) 3.4.1 关系运算 (23) 3.4.2 控制流 (25) 第4章卷积码的设计与仿真 (27) 4.1TD-SCDMA系统 (27) 4.1.1 系统简介 (27) 4.1.2 仿真通信系统模型 (27)

基于MATLAB对卷积码的性能分析

基于MATLAB对卷积码的性能分析 【摘要】本文对比了在加性高斯白噪声(AWGN)信道下经BPSK调制后的数据不编码与添加卷积编码后接收到的信道输出的误码性能,并通过对比对卷积码性能进行分析。采用MATLAB自编函数对[2,1,8]卷积码以及维特比译码进行仿真,且对其性能进行分析。由于卷积码有性能floor,编码增益随信噪比降低而体现不明显。仿真结果表明:当信噪比等于-1dB时,一个序列通过加性高斯白噪声信道后接收到的信道输出误比特率大于10-1,且该序列运用[2,1,8]卷积码编码,维特比译码(硬判决)后所得的序列误比特率升高。当信噪比为2dB时,一个序列通过加性高斯白噪声信道后接收到的信道输出误比特率约为4*10-2,且该序列运用[2,1,8]卷积码编码,维特比译码后所得的序列误比特率小于10-3,误码率远低于不编码时的误码率。因此卷积码适用于信道输出误码率比较低时候。 【关键词】维特比译码;卷积码;误比特率;马尔科夫性 1.引言 卷积码的编码器是由一个有k位输入、n位输出,且具有m位移位寄存器构成的有限状态的有记忆系统,通常称它为时序网络。[1]编码器的整体约束长度为v,是所有k个移位寄存器的长度之和。具有这样的编码器的卷积码称作[n,k,v]卷积码。对于一个(n,1,v)编码器,约束长度v等于存储级数m。卷积码是由k个信息比特编码成n(n>k)比特的码组,编码出的n比特码组值不仅与当前码字中的k个信息比特值有关,而且与其前面v个码组中的v*k个信息比特值有关。 卷积码有三种译码方式:序列译码、门限译码和概率译码。其中,概率译码根据最大似然译码原理在所有可能路径中求取与接收路径最相似的一条路径,具有最佳的纠错性能,[2]维特比译码是概率译码中极重要的一种方式。 序列译码和门限译码则不一定能找出与接收路径最相似的一条路径。不同于维特比译码,门限译码与序列译码所需的计算量是可变的且对于给定信息分组的最终判决仅仅基于(m+1)个接收分组,而不是基于整个接收序列。[3]与维特比译码所使用的对数似然量度不同,序列译码所使用的量度为Fano量度。在接收序列受扰严重的情况下,序列译码的计算量大于维特比译码所需的固定计算量,虽然序列译码要求的平均计算次数通常小于维特比译码。在采用并行处理的情况下,维特比译码的速度会优于序列译码。在同样码率和存储级数的条件下,门限译码的性能比维特比译码低大约3dB。 维特比译码的数据输出方式有硬判决及软判决两种方式,本文选取生成多项式为561,753的(2,1,8)卷积码对硬判决的性能进行分析,并依据维特比译码的原理以及卷积码的特性,对卷积码编码和维特比译码过程在加性高斯白噪声(AWGN)信道下进行仿真,并且根据仿真结果对维特比译码(硬判决)的结果

14卷积码编解码

实验四 卷积码的编解码 一、实验目的 1、掌握卷积码的编解码原理。 2、掌握卷积码的软件仿真方法。 3、掌握卷积码的硬件仿真方法。 4、掌握卷积码的硬件设计方法。 二、预习要求 1、掌握卷积码的编解码原理和方法。 2、熟悉matlab 的应用和仿真方法。 3、熟悉Quatus 的应用和FPGA 的开发方法。 三、实验原理 1、卷积码编码原理 在编码器复杂度相同的情况下,卷积码的性能优于分组码,因此卷积码几乎被应用在所有无线通信的标准之中,如GSM , IS95和CDMA 2000 的标准中。 卷积码通常记作( n0 , k0 , m) ,它将k 0 个信息比特编为n 0 个比特, 其编码效率为k0/ n0 , m 为约束长度。( n0 , k0 , m ) 卷积码可用k0 个输入、n0 个输出、输入存储为m 的线性有限状态移位寄存器及模2 加法计数器来实现。 本实验以(2,1,3)卷积码为例加以说明。图1就是卷积码编码器的结构。 图1 (2,1,3)卷积码编码器 其生成多项式为: 21()1G D D D =++; 2 2()1G D D =+; 如图1 所示的(2,1,3)卷积码编码器中,输入移位寄存器用转换开关代替,每输入一个信息比特经编码产生二个输出比特。假设移位寄存器的初始状态为全0,当第一个输入比特为0时,输出比特为00;若输入比特为1,则输出比特为11。随着第二个比特输入,第一个比特右移一位,此时输出比特同时受到当前输入比特和前一个输入比特的影响。第三个比特输入时,第一、二个比特分别右移一位,同时输出二个由这三位移位寄存器存储内容所共同决定的比特。依次下去就完成了编码过程。 下面是卷积码的网格图表示。他是比较清楚而又紧凑的描述卷积码的一种方式,它是最常用的描述方

卷积码编码器的设计 (1)剖析

湖南文理学院 课程设计报告 课程名称:通信系统课程设计 专业班级:通信工程11102班09 学生姓名:朱涛 指导教师:侯清莲 完成时间:2014-11-18 报告成绩:

目录 一、设计要求 (1) 二、设计作用与目的 (1) 三、所用设备及软件 (1) 四、卷积码编码的概念 (1) 4.1卷积码的编码描述方法 (1) 4.2 卷积编码 (2) 4.3 卷积码的树状图 (3) 4.4 卷积码的网格图 (3) 五、 EDA设计方法及工具软件QUARTUSⅡ (4) 六、改变卷积编码器的参数仿真以及结论 (4) 6.1 不同回溯长度对卷积编码器性能的影响 (4) 6.2 不同码率对卷积编码器误码性能的影响 (5) 6.3 不同约束长度对卷积编码器的误码性能影响 (6) 七、卷积码编码器的VHDL设计与仿真 (8) 7.1 VHDL设计的优点与设计方法 (8) 7.2 卷积码编码器的VHDL实现 (10) 八、心得体会 (10) 九、参考文献 (11)

卷积编码器的设计 一、设计要求 (1)画出卷积码的原理框图,说明系统中各主要组成部分的功能。 (2)使用EDA技术及VHDL语言对卷积编码器进行设计与仿真并对结果分析。 二、设计作用与目的 (1)巩固加深对通信基本知识分析以及卷积码的掌握,提高综合运用通信知识的能力。(2)掌握采用仿真软件对系统进行仿真分析。 (3)培养学生查阅参考文献,独立思考,设计,钻研电子技术相关问题的能力。 (4)掌握相关电子线路工程技术规范以及常规电子元器件的性能技术指标。 (5)培养严肃认真的工作作风与科学态度,建立严谨的工程技术观念。 (6)了解电气图国家标准,并利用电子CAD等正确绘制电路图。 (7)培养工程实践能力,创新能力与综合设计能力。 三、所用设备及软件 (1)QUARTUSⅡ (2)PC机 四、卷积码编码的概念 4.1卷积码的编码描述方法 编码描述方法有5种:冲激响应描述法、生成矩阵描述法、多项式乘积描述法、状态图描述法和网格图描述法。卷积码的纠错能力随着N的增加而增大,而差错率随着N的增加而指数下降。在编码器复杂性相同的情况下,卷积码的性能优于分组码。分组码有严格的代数结构,但卷积码至今尚未找到如此严密的数学手段。分组码的译码算法可以由其代数特性得到。卷积码虽然可以采用适用于分组码的门限译码(即大数逻辑译码),但性能不如维特比译码和序列译码[2]。 以二元码为例,输入信息序列为u=(u0,u1,…),其多项式表示为u(x)=u0+u1x+…+…。编码器的连接可用多项式表示为g (1,1) (x)=1+x+x2和g(1,2)(x)=1+x2,称为码的子生 成多项式。它们的系数矢量g (1,1)=(111)和g (1,2) =(101)称作码的子生成元。以子生成多项式 为阵元构成的多项式矩阵G(x)=[g (1,1)(x),g (1,2) (x)],称为码的生成多项式矩阵。由生成 元构成的半无限矩阵。

卷积码的编解码仿真与研究

卷积码的编解码仿真与研究 摘要 卷积码是一种性能优越的信道编码。它的编码器和译码器都比较容易实现,同时它具有较强的纠错能力。随着纠错编码理论研究的不断深入,卷积码的实际应用越来越广泛。本文简明地介绍了卷积码的编码原理和译码原理。并在SIMULINK模块设计中,完成了对卷积码的编码和译码以及误比特统计整个过程的模块仿真。最后,通过在仿真过程中分别改变卷积码的重要参数来加深理解卷积码的这些参数对卷积码的误码性能的影响。经过仿真和实测,并对测试结果作了分析。得出了以下三个结论: (1)当改变卷积码的码率时,系统的误码性能也将随之发生变化。 (2)对于码率一定的卷积码,当约束长度N 发生变化时,系统的误码性能也会随之发生变化。 (3)回溯长度也会不同程度上地影响误码性能。 关键词:卷积码;码率;约束长度;回溯长度

Simulation and Research on Encoding and Decoding of Convolution Code Abstract Convolution code has a superior performance of the channel code. It is easy to coding and decoding. And it has a strong ability to correct errors. As correcting coding theory has a long development, the practice of convolution code is more and more extensive. In this thesis, the principle of convolution coding and decoding is introduced simply firstly. Then the whole simulation module process of encoding, decoding and the Error Rate Calculation is completed in this design. Finally, in order to understand their performances of error rate, many changes in parameters of convolution code are calculated in the simulation process. After simulation and measure, an analysis of test results is presented. The following three conclusions are draw: (1) When the rate of convolution Code changes, BER performance of the system will change. (2) For a certain rate of convolution code, when there is a change in the constraint length of N, BER performance of the system will change. (3) Retrospective length will affect BER. Key words: convolution code; rate; constraint length; retrospective length;

卷积码仿真报告

卷积码仿真报告 卷积码编码原理 卷积码和分组码一样,也是将k 个信息比特编成n 个比特,但与分组编码的不同之处在于卷积码的编后的n 个比特不仅与当前k 个信息比特有关,还与前面的(N-1)*k 个信息有关,,编码过程中互相的比特个数为Nk 。卷积码的纠错能力随N 的增加而增大,N 称为卷积的约束深度(记忆深度),通常可记为(n,k,N ),表示码率为R=k/n 、约束长度为N 的卷积码。一般来说,卷积码的k 和m 都很小,码率也比较低,一般低于90%,所以其纠错能力很强。 由于卷积码充分利用了各码组之间的相关性,无论理论上还是实际中均已证明其性能不差于甚至优于分组码。但是与有严格代数结构的分组码不同,卷积码至今尚未找到可以把纠错性能与码的构成有规律地联系起来的严密的数学手段。目前大都采用计算机来搜索好码。因此,对卷积码的研究还在发展中。 卷积编码的一般结构 卷积码编码器的一般结构如上图所示,数据经过串、并变换器后形成k bits 一帧的并行数据送到线性逻辑单元,同时送入m 级数据帧移位寄存器,m 是移位寄存器的存储深度。编码逻辑根据当前输入数据和存放在数据寄存器中的以前数据进行线性逻辑运算得到 nbits 编码输出,再经过并、串变换转换成串行输出。m 十1称为该卷积码的约束长度。 用u 表示输入消息数据序列 012(,,,)u u u u

m 个输出数据序列为 11,01,11,2(,,,)c c c c = 22,02,12,2(,,,)c c c c = ,0,1,2(,,,)m m m m c c c c = 经并串变换的输出为 1,02,0,01,12,1,1(,,,,,,)m m c c c c c c c = 卷积码是一种重要的实现差错控制的信道编码,其译码方式有三种:Viterbi 译码、序列译码和门限译码。本项目拟定采用Viterbi 译码方式,故在些只考虑 Viterbi 译码算法。Viterbi 译码算法是卷积码最常用的译码算法,它具有最佳的译码性能,但其硬件实现比较复杂。Viterbi 译码算法已被广泛使用在通信和数字信号处理领域。 Viterbi 译码原理 (n,k,m)卷积码编码器共有2km 个状态,若输入的信息序列长度是Lk 十mk ,则进入和离开每一状态各有2km 条分支,在trellis 图上有2kL 条不同的路径,相应于编码器输出的2kL 个码序列。若按照最大似然译码算法,我们要比较所有可能的2kL 条路径,这个计算量通常是无法实现的。Viterbi 算法克服了这个难点,采用接收一段,计算、比较一段,选择一段最可能的分支,从而达到整个码序列是一个由最大似然函数得到的序列。 viterbi 译码的基本思想是:将接收序列r 与网格图上的路径逐分支地进行比较,然后留下距离最小的路径作为留选路径,并将这些留选路径逐分支地延长并存储起来,留选路径的数目等于状态数,所

卷积码

卷积码 1、什么是卷积码? 卷积码作为一种编码方法,是将k个信息比特编成n个比特,但k和n通常很小,因此时延小,特别适合以串行形式进行传输。通常它更适合于前向纠错,因而对于许多实际情况它的性能优于分组码,而且运算较简单。 2、卷积码的编译原理? (1)编码原理 下图示出卷积码编码器一般原理方框图。编码器由三种主要元件构成,包括Nk级移存器、n个模2加法器和一个旋转开关。每个模2加法器的输入端数目可以不同,它连接到一些移存器的输出端。模2加法器的输出端接到旋转开关上。将时间分成等间隔的时隙,在每个时隙中有k比特从左端进入移存器,并且移存器各级暂存的信息向右移k位。旋转开关每时隙旋转一周,输出n比特(n)k)。 (2)译码原理 卷积码的解码方法可以分为两类:代数解码和概率解码。代数解码是利用编码本身的代数结构进行解码,不考虑信道的统计特性。概率解码则是基于信道的统计特性和卷积码的特点进行计算,其中一种概率解码方法是维特比算法。当码的约束长度较短时,它比序贯解码算法的效率更高、速度更快,目前得到广泛的使用。

维特比算法的基本原理是将接收到的信号序列和所有可能的发送信号序列比较,选择其中汉明距离最小的序列认为是当前发送信号序列。若发送一个k位序列,则有2k种可能的发送序列。计算机应存储这些序列,以便用作比较。当k较大时,存储量太大,使实用受到限制。维特比算法对此作了简化,使之能够实用。 3、与分组码相比,卷积码的优势是什么? 与分组码不同的是,卷积码编码后n个码元不但与本码组的k个信息码元有关,而且与前面的N-1段信息有关,编码的过程中相互关联的码元有N*n个。卷积码的纠错能力同样是随N的增大而增大,其差错率随N的增大而指数的下降。在编码器相同的情况下,卷积码的性能优于分组码。另一点不同是,分组码有严格的代数结构,但是卷积码至今没有严格的数学手段把纠错能力和码结构有机地联系起来,目前大都是采用计算机搜索来搜索好用的码组。 4、卷积码编译电路的组成结构? (1)信号发生器 (2)卷积码编码器 (3)信道 (4)卷积码译码器 参考文献: [1]通信原理(第6版)樊昌信、曹丽娜,国防工业出版社·北京,2012 [2]SystemView通信仿真开发手册,孙屹,国防工业出版社,2004 [3]SystemView动态系统分析及通信系统仿真设计,罗卫兵、孙桦、张捷,西安电子科技大学出版社,2001

卷积码的设计与实现

湖南文理学院课程设计报告 课程名称:通信系统课程设计 院部:电气与信息工程学院 专业班级: 学生姓名: 指导教师: 完成时间: 2011 年 12 月 29日 报告成绩:

目录 目录 (2) 摘要 (3) Abstract (4) 一、引言 (5) 1.1设计任务及基本要求 (5) 1.2设计目的 (6) 1.3 设计所用仪器设备.................................................................................. 错误!未定义书签。 二、基本概念 (6) 2.1 卷积码的编码原理 (6) 2.2 卷积码编码描述 (6) 2.3 卷积码译码描述 (6) 三、卷积码的编译码原理 (6) 3.1卷积码的图形描述 (6) 3.1.1 树状图 (8) 3.1.2 网格图 (8) 3.1.3 状态图 (9) 3.2 卷积积码的编码算法 (9) 3.3卷积码的Viterbi译码 (10) 四、卷积码的仿真及性能分析 (12) 4.1 SIMULINK仿真模块 (12) 4.2 卷积码的参数对误码率的影响 (13) 4.2.1 码率对误码性能的影响 (13) 4.2.2 约束长度对误码性能的影响 (15) 4.2.3 回溯长度对卷积码性能的影响 (16) 4.3 仿真分析 (17) 总结 (18) 参考文献: (19)

摘要 卷积码是深度空间通信系统和无线通信系统中常用的一种差错控制编码。在编码过程中,卷积码充分利用了各码字间的相关性。在与分组码同样的码率和设备复杂性的条件下,无论从理论上还是从实践上都证明,卷积码的性能都比分组码具有优势。而且卷积码在实现最佳译码方面也较分组码容易。因此卷积码广泛应用于卫星通信,CDMA数字移动通信等通信系统,是很有前途的一种编码方式。对其进行研究有很大的现实意义。为了解决传统的维特比译码器结构复杂、译码速度慢、消耗资源大的问题,提出一种新型的适用于FPGA 特点,路径存储于译码输出并行工作,同步存储路径矢量和状态矢量的译码器设计方案。该设计方案通过在ISE.2i中仿真验证,译码结果正确,得到编码前的原始码元,速度显著提高,译码器复杂程度明显降低。并在实际的软件无线电通信系统中信道编解码部分得到应用,性能优良。 关键词:卷积码;误码性能;原理

毕业设计61基于System View的卷积码译码器的设计

基于System View的卷积码译码器的设计 摘要本课程设计在SystemView 平台上设计了卷积码译码器,SystemView系统中提供了专门的卷积码编码和译码图符,使用户能快速地建立基于卷积码的仿真系统,本课程设计对(2,1,6)的大数逻辑译码原理,以及维比特译码原理进行了解释,利用SystemView 进行了(2,1,3)卷积码译码器的仿真。系统运行以后将译码后得到的波形与原始的码元输入信号进行比较,系统参数经过修改以后能够正确地将编码后的信号译码为原始的码元。 关键字卷积码译码器,System View,(2,1,3)卷积码译码器 1 引言 卷积码的译码方法主要有两类,代数译码和概率译码。代数译码是根据卷积码的本身编码结构进行译码,译码时不考虑信道的统计特性。概率译码在计算时要考虑信道的统计特性。典型的算法如:最大似然译码、维比特译码、序列译码等。本课程设计利用SystemView 平台进行卷积码译码器的实现,SystemView系统中提供了专门的卷积码编码和译码图符,使用户能快速地建立基于卷积码的仿真系统,本课程设计对(2,1,6)的大数逻辑译码原理,以及维比特译码原理进行了解释,利用System View进行了(2,1,3)卷积码译码仿真,系统参数经过修改以后能够正确地将编码后的信号译码为原始的码元。 1.1 卷积码简介 卷积码也称为连环码是一种非分组码,分组码编码时,先将输入的信息序列分为长度为k的码元的字段,然后按照一定的编码规则,给含k个信息元的段附加上r长的监督元,于是生成n 长的码组。在编码时,各n长码组是分别编码的,各码组之间没有约束关系,因此译码时各码组之间是分别独立进行的。卷积码则不同于此,卷积编码属于信道编码,主要用来纠正码元的随机差错,它是以牺牲效率来换取可靠性的,利用增加监督位,进行检错和纠错。卷积码把k个信息位编成n位,k和n通常很小,特别适宜于串行形式传输,延时小,n个码元与当前段的k个信息位有关,而且与前N-1段的信息有关,编码过程相互关联的码元为Nn个,N或Nn称为卷积码的约束长度,常把卷

213卷积码编码和译码

No.15 (2,1,3)卷积码的编码及译码 摘要: 本报告对于(2,1,3)卷积码原理部分的论述主要参照啜刚教材和课件,编程仿真部分绝对原创,所有的程序都是在Codeblocks 8.02环境下用C语言编写的,编译运行都正常。完成了卷积码的编码程序,译码程序,因为对于短于3组的卷积码,即2 bit或4 bit纠错是没有意义的,所以对正确的短序列直接译码,对长序列纠错后译码,都能得到正确的译码结果。含仿真结果和程序源代码。 如果您不使用Codeblocks运行程序,则可能不支持中文输出显示,但是所有的数码输出都是正确的。

一、 卷积码编码原理 卷积码编码器对输入的数据流每次1bit 或k bit 进行编码,输出n bit 编码符号。但是输出的分支码字的每个码元不仅于此时可输入的k 个嘻嘻有关,业余前m 个连续式可输入的信息有关,因此编码器应包含m 级寄存器以记录这些信息。 通常卷积码表示为 (n,k,m). 编码率 k r n = 当k=1时,卷积码编码器的结构包括一个由m 个串接的寄存器构成的移位寄存器(成为m 级移位寄存器、n 个连接到指定寄存器的模二加法器以及把模二加法器的输出转化为穿行的转换开关。 本报告所讲的(2,1,3)卷积码是最简单的卷积码。就是2n =,1k =,3m =的卷积码。每次输入1 bit 输入信息,经过3级移位寄存器,2个连接到指定寄存器的模二加法器,并把加法器输出转化为串行输出。 编码器如题所示。 二、卷积码编码器程序仿真 C 语言编写的仿真程序。 为了简单起见,这里仅仅提供数组长度30 bit 的仿真程序,当然如果需要可以修改数组大小。为了更精练的实现算法,程序输入模块没有提供非法字符处理过程,如果需要也可以增加相应的功能。 进入程序后,先提示输入数据的长度,请用户输入int (整型数)程序默认用户输入的数据小于30,然后提示输入01数码,读入数码存储与input 数组中,然后运算输出卷积码。经过实验仿真,编码完全正确。 以下是举例: a.课件上的输入101 输出11 10 00 的实验

缩短卷积码MATLAB仿真实训实习报告

缩短卷积码MATLAB仿真实训实习报告 缩短卷积码MATLAB仿真实训实习报告 ()摘要 现代通信系统的信道本人码中几乎毫无例外的采用了先进的本人 码方式:卷积本人码,如:码分多址通信系统CDMA,数字蜂窝通信系统GSM,数字集群通信系统TETRA等等,在差错控制中,卷积码表现出了 优秀的纠错能力。但是优秀的纠错能力是以降低频道利用率为代价。 在功能完备的通信系统中有许多使命不同的逻本人信道.不同的逻本人 信道因为任务不同,专业知识对应的差错控制能力与频道利用率的提 议是不样的,有两种实现的数学方法:构建不同的卷积码或本人应用 同个卷积码但是运用不同的缩短方案以适应不同的传输数码率,自然 也有着相应的信道纠错能力和频带利用率。在先进路线图的数字集群 系统中就是用的二种方案,实践证明,删除型缩短卷积码的性能与最 卷积码可以做到相当接近。 关键词:信道本人码缩短卷积码纠错能力 (二)缩短卷积码基本原理 本实训拥有目的是要构建个具有卷积解卷积和打孔插零 功能以及相应的传输环境的仿真系统来对各类卷积码进行缩短卷积码 的仿真研究,得到大致相同频带利用率下不同打孔图形的差错控制能 力定量频带结果。 用相同的生成多项式构建的维特比译码器和不同的压缩图形卷积 码都可以在原打孔图形位置上嵌入填充的码元后进行译码。仿真采用 具有缩短卷积码和调制功能的系统仿真系统内,用BernoulliRandomBinary产生每帧2码元的随机二进制码,进入卷积本人码器后变成每帧6码元的二进制码流,再经过Puncture(打孔)模 块后,变为删去选择码元后剩下的码元数,在调制和解调原核细胞中,

数据帧的大本人不变,通过InsertZero(插零)模块在原来删去码元的位置插入码元0,解卷积,恢复每帧2码元。 Puncture模块中数据流的打孔矢量应与输入信号具有相同的帧长度,而且要与InsertZero模块中的插零矢量相同,矢量(vector)中的0就是打掉马远的位置,也是在解卷积之前插入0的位置,1是保留码元的位置,而矢量的长度与0的数目以及卷积码的结构共同决定了本人码效率。 无线电波信号发生器的每帧取样设定为2,通过卷积本人码器以后就是2进6出,假设通过打孔以后六个码元剩下三个的码元数位N,则本人码效率R为: R=(1/3)×(6/N) (三)缩短卷积码系统仿真 1.缩短卷积码的MATLAB仿真 2.不同本人码效率下的参数设置 R=1/3 R=2/5 R=1/ R=2/3 R= 3.系统仿真m件 4.不同的本人码效率的误码率曲线图 扩展阅读:MATLAB实训报告 MATLAB语言自白程实训报告

卷积码编译码课设 (2)

摘要 卷积码是一种性能优越的信道编码。它的编码器和译码器都比较容易实现,同时它具有较强的纠错能力。随着纠错编码理论研究的不断深入,卷积码的实际应用越来越广泛。本文对卷积码和卷积码的编译码有一个简单的介绍且给出了信道编码的发展历史及研究状况,然后详细讨论了(2,1,2)卷积码的编码过程和译码过程,通过状态转移方程和输出方程得出状态转移表和状态转移图,然后通过维特比译码器研究,总结出了维特比译码算法,最后通过Matlab软件进行设计与仿真,得到了编码程序和译码程序,其运行结果与理论分析一致。 关键字卷积码编码、信道编码、Viterbi译码、MATLAB仿真

目录 摘要........................................... 错误!未定义书签。 一、引言 (3) 1.1发展历史及研究状况 (3) 1.2设计目的和意义 (3) 1.3设计方法 (4) 二、卷积码编译码原理 (5) 2.1 卷积码编码原理 (5) 2.2编码器 (6) 2.3 卷积码译码原理 (7) 2.4 VITEBI 译码的关键步骤 (8) 2.4.1 输入与同步单元 (8) 2.4.2 支路量度计算 (8) 2.4.3 路径量度的存储与更新 (8) 2.4.4 信息序列的存储与更新 (8) 2.4.5 判决与输出单元 (8) 三、卷积码编码实现 (9) 3.1 编码原理分析 (9) 3.2 卷积码编码流程图 (10) 四、卷积码译码实现 (11) 4.1 译码编程思路 (11) 4.2 卷积码译码流程图 (11) 五、卷积码编译码程序的编译及仿真波形 (11) 5.1 卷积码编码仿真 (12) 5.2卷积码译码仿真 (13) 5.3卷积码纠错码仿真 (14) 六、总结 (15) 七、参考文献 (16) 附录 (17)

实验九 (2,1,5)卷积码编码译码技术

实验九 (2,1,5)卷积码编码译码技术 一、实验目的 1、掌握(2,1,5)卷积码编码译码技术 2、了解纠错编码原理。 二、实验内容 1、(2,1,5)卷积码编码。 2、(2,1,5)卷积码译码。 三、预备知识 1、纠错编码原理。 2、(2,1,5)卷积码的工作原理。 四、实验原理 卷积码是将发送的信息序列通过一个线性的,有限状态的移位寄存器而产生的编码。通常卷积码的编码器由K级(每级K比特)的移位寄存器和n个线性代数函数发生器(这里是模2加法器)组成。 若以(n,k,m)来描述卷积码,其中k为每次输入到卷积编码器的bit数,n 为每个k元组码字对应的卷积码输出n元组码字,m为编码存储度,也就是卷积编码器的k元组的级数,称m+1= K为编码约束度m称为约束长度。卷积码将k 元组输入码元编成n元组输出码元,但k和n通常很小,特别适合以串行形式进行传输,时延小。与分组码不同,卷积码编码生成的n元组元不仅与当前输入的k元组有关,还与前面m-1个输入的k元组有关,编码过程中互相关联的码元个数为n*m。卷积码的纠错性能随m的增加而增大,而差错率随N的增加而指数下降。在编码器复杂性相同的情况下,卷积码的性能优于分组码。 编码器 随着信息序列不断输入,编码器就不断从一个状态转移到另一个状态并同时输出相应的码序列,所以图3所示状态图可以简单直观的描述编码器的编码过程。因此通过状态图很容易给出输入信息序列的编码结果,假定输入序列为110100,首先从零状态开始即图示a状态,由于输入信息为“1”,所以下一状态为b并输出“11”,继续输入信息“1”,由图知下一状态为d、输出“01”……其它输入信息依次类推,按照状态转移路径a->b->d->c->b->c->a输出其对应的编码结果“110101001011”。 译码方法 ⒈代数 代数译码是将卷积码的一个编码约束长度的码段看作是[n0(m+1),k0(m+1)]线性分组码,每次根据(m+1)分支长接收数字,对相应的最早的那个分支上的信息数字进行估计,然后向前推进一个分支。上例中信息序列 =(10111),相应的码序列 c=(11100001100111)。若接收序列R=(10100001110111),先根据R 的前三个分支(101000)和码树中前三个分支长的所有可能的 8条路径(000000…)、(000011…)、(001110…)、(001101…)、(111011…)、(111000…)、(110101…)和(110110…)进行比较,可知(111001)与接收

设计报告--008---差错控制编码的SIMULINK建模与仿真

差错控制编码的SIMULINK建模与仿真一.线性分组码编码系统建模 Reed-Solomon码编码系统框图: 信源模块的系统框图: 信宿模块的系统框图: 1.循环冗余码编码系统建模与仿真 CRC-16编码系统框图:

信源模块的系统框图: 信宿模块的系统框图: 信号比较模块系统款图: M文件如下: x=[0.00001 0.0001 0.001 0.005 0.01 0.02 0.03 0.04 0.05 0.1 0.2 0.3 0.4 0.5]; y=x; ProtectedData=48; FrameInterval=0.010; BitPeriod=FrameInterval/ProtectedData;

ProtectedDataWithCRC=ProtectedData+16; FrameLength=480; SimulationTime=1000; TotalFrameNumber=SimulationTime/FrameInterval; for i=1:length(x) ChannelErrorRate=x(i); sim('project_2'); y(i)=MissedFrameNumber(length(MissedFrameNumber))/TotalFrameNumber; end loglog(x,y); 仿真结果:没有达到预想的结果,还有待改进。 二.卷积码编码系统建模与仿真: 1)卷积码编码系统在二进制对称信道中的性能 系统框图: M文件如下: x=[0.01 0.02 0.03 0.04 0.05 0.1 0.15 0.2 0.25 0.3 0.4 0.5];%x表示二进制对称信道的误比特率的各个取值 y=x;%y表示卷积编码信号的误码率,它的长度与x的长度相等 for i=1:length(x)%对x中的每个元素依次执行仿真

相关文档