文档库 最新最全的文档下载
当前位置:文档库 › 飞思卡尔单片机 MC9S12XS256PB

飞思卡尔单片机 MC9S12XS256PB

飞思卡尔单片机  MC9S12XS256PB
飞思卡尔单片机  MC9S12XS256PB

Freescale Semiconductor Product Brief

MC9S12XS256PB Rev. 4, 11-Nov-2008

MC9S12XS-Family

Low Cost 16-Bit Microcontroller Family

Covers MC9S12XS256, MC9S12XS128 and MC9S12XS64

Introduction

The new MC9S12XS-Family of 16-Bit micro controllers is a compatible, reduced version of the

MC9S12XE-Family. These families provide an easy approach to develop common platforms from low-end to high-end applications, minimizing the redesign of software and hardware.

Targeted at generic automotive applications and slave CAN nodes, some typical examples of these applications are:Body Controllers,Occupant Detection,Door Modules,RKE Receivers,Smart Actuators, Lighting Modules and Smart Junction Boxes amongst many others.For space-constrained applications, these products are also available in die format.

The MC9S12XS-Family retains many of the features of the S12XE-Family including Error Correction Code (ECC) on Flash memory, a separate Data-Flash Module for code or data storage, a Frequency Modulated Locked Loop (IPLL) that improves the EMC performance and a fast ATD converter.

MC9S12XS-Family will deliver 32-Bit performance with all the advantages and efficiencies of a 16-Bit MCU.It will retain the low cost,power consumption,EMC and code-size efficiency advantages currently enjoyed by users of Freescale’s existing16-Bit MC9S12and S12X MCU families.Like members of other S12X families,the MC9S12XS-Family will run16-Bit wide accesses without wait states for all peripherals and memories.

Features

The MC9S12XS-Family will be available in 112-Pin LQFP, 80-Pin QFP and 64-Pin LQFP package options and maintains a high level of pin compatibility with the S12XE-Family.In addition to the I/O ports available in each module,up to18further I/O ports are available with interrupt capability allowing Wake-Up from STOP or WAIT modes.

The peripheral set includes MSCAN, SPI, two SCIs, 8-channel Timer, 8-channel PWM and up to 16-channel 12-bit ATD converter.

Software controlled peripheral-to-port routing enables access to a flexible mix of the peripheral modules in the lower pin count package options.

Features

Features of the MC9S12XS-Family are listed here.Please see Table1for memory options and Table2 for the peripheral features that are available on the different family members.

16-Bit CPU12X ?Upward compatible with MC9S12 instruction set

?Enhanced indexed addressing

?Access to large data segments independent of PPAGE

?Note:five Fuzzy instructions are removed(MEM,WAV,WAVR,REV, REVW)

Enhanced Interrupt

Module ?Seven levels of nested interrupts

?Flexible assignment of interrupt sources to each interrupt level.?External non-maskable high priority interrupt (XIRQ)

?The following inputs can act as Wake-up Interrupts

–IRQ and non-maskable XIRQ

–CAN receive pins

–SCI receive pins

–Depending on the package option up to20pins on ports J,H and P configurable as rising or falling edge sensitive

System Integrity

Support ?Power-on reset (POR)

?Illegal address detection with reset

?Low-voltage detection with interrupt or reset

?Computer Operating Properly (COP) watchdog

–Configurable as window COP for enhanced failure detection –Can be initialized out of reset using option bits located in Flash ?Clock monitor supervising the correct function of the oscillator

Features

Memory Options ?64K, 128K and 256K byte Flash

?Flash General Features

–64 data bits plus 8 syndrome ECC (Error Correction Code) bits allow single bit failure correction and double fault detection –Erase sector size 1024 bytes

–Automated program and erase algorithm

–Protection scheme to prevent accidental program or erase

–Security option to prevent unauthorized access

–Sense-amp margin level setting for reads

?4K and 8K byte Data Flash space

–16 data bits plus 6 syndrome ECC (Error Correction Code) bits allow single bit failure correction and double fault detection –Erase sector size 256 bytes

–Automated program and erase algorithm

?4K, 8K and 12K byte RAM

Oscillator (OSC_LCP)?Loop Control Pierce oscillator utilizing a 4MHz to 16MHz crystal –Current gain control on amplitude output

–Signal with low harmonic distortion

–Low power

–Good noise immunity

–Eliminates need for external current limiting resistor

?Option for full-swing Pierce without internal feedback resistor utilizing

a 2MHz to 40MHz crystal.

?Transconductance sized for optimum start-up margin for typical crystals

Internally-Filtered Phase-Locked-Loop

(IPLL)?Phase-locked-loop clock frequency multiplier

–No external components required

–Reference divider and multiplier allow large variety of clock rates –Automatic bandwidth control mode for low-jitter operation

–Automatic frequency lock detector

–Configurable option to spread spectrum for reduced EMC radiation (frequency modulation)

Clock and Reset Generator (CRG)?COP watchdog

?Real Time Interrupt

?Clock Monitor

?Fast wake up from STOP in self clock mode for power saving and immediate program execution

?System reset generation

Features

Analog-to-Digital Converter (ATD)?16-channel, 12-bit Analog-to-Digital converter

–8/10/12 Bit resolution

–3μs, 10-bit single conversion time

–Left or right justified result data

–External and internal conversion trigger capability

–Internal oscillator for conversion in Stop modes

–Wake from low power modes on analogue comparison > or <= match

–Continuous conversion mode

–Multiplexer for 16 analog input channels

–Multiple channel scans

–Pins can also be used as digital I/O

Timer (TIM)?8 x 16-bit channels for input capture or output compare ?16-bit free-running counter with 8-bit precision prescaler ? 1 x 16-bit pulse accumulator

Periodic Interrupt

Timer (PIT)? 4 channel x 24-bit modulus down-count timers –Time-out interrupt

–Time-out peripheral trigger

?Start of timers can be aligned

Real Time Interrupt

(RTI)?Real Time Interrupt for task scheduling purposes or cyclic wake-up ?Can be active in Pseudo Stop mode for low power precision timing tasks

Asynchronous Periodic Interrupt

(API)?Low Power wake-up timer

–Internal oscillator driving a down counter

–Trimmable to +/-10% accuracy across full operating range –Time-out periods range from 0.2ms to ~13s with a 0.2ms resolution

Pulse Width Modulator (PWM)?Up to 8 channel x 8-bit or 4 channel x 16-bit Pulse Width Modulator –Programmable period and duty cycle per channel

–Center- or left-aligned outputs

–Programmable clock select logic with a wide range of frequencies

Features

Multi-Scalable

Controller Area Networks

(MSCAN)? 1 Mbit per second, CAN 2.0 A, B software compatible module –Standard and extended data frames

–0 - 8 bytes data length

–Programmable bit rate up to 1 Mbps

?Five receive buffers with FIFO storage scheme

?Three transmit buffers with internal prioritization

?Flexible identifier acceptance filter programmable as:– 2 x 32-bit

– 4 x 16-bit

–8 x 8-bit

?Wake-up with integrated low pass filter option

?Loop back for self test

?Listen-only mode to monitor CAN bus

?Bus-off recovery by software intervention or automatically ?16-bit time stamp of transmitted/received messages

Serial Peripheral Interface (SPI)?Configurable 8 or 16-bit data size ?Full-duplex or single-wire bidirectional ?Double-buffered transmit and receive ?Master or Slave mode

?MSB-first or LSB-first shifting

?Serial clock phase and polarity options

Serial Communication

Interface (SCI)?Full-duplex or single wire operation

?Standard mark/space non-return-to-zero (NRZ) format ?Selectable IrDA 1.4 return-to-zero-inverted (RZI) format with programmable pulse widths

?13-bit baud rate selection

?Programmable character length

?Programmable polarity for transmitter and receiver ?Receive wakeup on active edge

?Break detect and transmit collision detect supporting LIN

Background Debug

(BDM)?Background Debug Module (BDM) with single-wire interface –Non-intrusive memory access commands

–Supports in-circuit programming of on-chip non-volatile memory –Supports security

Features

Debugger (xDBG)?Four comparators A, B, C and D to monitor CPU busses – A and C compares 23-bit address bus and 16-bit data bus with mask register

– B and D compares 23-bit address bus only

–Three modes: simple address/data match, inside address range or outside address range

?64x64-bit circular trace buffer to capture change-of-flow addresses or address and data of every access

?Tag-type or force-type hardware breakpoint requests

On-Chip Voltage Regulator (VREG)?Two parallel, linear voltage regulators with bandgap reference ?Low-voltage detect (LVD) with low-voltage interrupt (LVI)?Power-on reset (POR) circuit

?Low-voltage reset (LVR)

Input/Output ?Up to 91 general-purpose input/output (I/O) pins depending on the package option and 2 input-only pins

?Hysteresis and configurable pull up/pull down device on all input pins ?Configurable drive strength on all output pins

Package Options ?112-pin low-profile quad flat-pack (LQFP), 20x20mm, 0.65mm pitch ?80-pin quad flat-pack (QFP), 14x14mm, 0.65mm pitch

?64-pin low-profile quad flat-pack (LQFP), 10x10mm, 0.5mm pitch ?Known good die (KGD)

Operating Conditions ?Wide single Supply Voltage range3.135V to5.5V at full performance –Separate supply for internal voltage regulator and I/O allow optimized EMC filtering

?40MHz maximum CPU bus frequency

?Ambient temperature range –40°C to 125°C

?Temperature Options:

––40°C to 85°C

––40°C to 105°C

––40°C to 125°C

Block Diagram

Block Diagram

Figure 1. MC9S12XS-Family Block Diagram

4K … 12K bytes RAM RESET EXTAL XTAL

4K … 8K bytes Data Flash

BKGD VDDR Periodic Interrupt Clock Monitor Single-wire Background TEST

Voltage Regulator

Debug Module VDD ATD

Multilevel Interrupt Module

P T A D SCI0

SS SCK

PS3PS0PS1PS2MOSI MISO SPI0

P T S AN[15:0]

PAD[15:0]VDDPLL

8/10/12-bit 16-channel Analog-Digital Converter

16-bit 8 channel Timer

TIM

Asynchronous Serial IF 8-bit 8 channel

Pulse Width Modulator

PWM

PIT

PB[7:0]

P T B

PA[7:0]

P T A

PK[7,5:0]

P T K XIRQ IRQ

ECLK

PE4PE3PE2PE1PE0PE7

PE6PE5P T E

VDDF 64K … 256K bytes Flash CPU12X

Amplitude Controlled Low Power Pierce or Full drive Pierce Oscillator

COP Watchdog PLL with Frequency Modulation option

Debug Module

4 address breakpoints

2 data breakpoints

512 Byte Trace Buffer

Reset Generation and Test Entry RXD TXD SCI1

Asynchronous Serial IF RXD TXD PS7PS4PS5PS6PH3PH0PH1PH2P T H (W a k e -u p I n t )PH7PH4PH5PH6CAN0

PM3PM0PM1PM2P T M msCAN 2.0B

RXCAN TXCAN

PM7PM4PM5PM6Synchronous Serial IF

Async. Periodic Int.

4ch 24-bit Timer P T J (W a k e -u p I n t )

PJ7

PJ6PT3PT0PT1PT2P T T PT7PT4PT5PT6PP3PP0PP1PP2P T P (W a k e -U p I n t )PP7PP4PP5PP6PWM3PWM0PWM1PWM2PWM7PWM4PWM5PWM6IOC3IOC0IOC1IOC2IOC7IOC4IOC5IOC6VDDA VSSA VRH VRL

PJ1

PJ0XCLKS/ECLKX2

Block Diagram

NOTE

For the 80QFP and 64LQFP package options,several peripheral functions can be routed under software control to different pins.Not all functions are available simultaneously. For details see Table 4.

Table 1 Package and Memory Options of MC9S12XS-Family

Device

Package Flash RAM

Data Flash

9S12XS256112 LQFP 256K 12K 8K

80 QFP 64 LQFP KGD (Die)9S12XS128112 LQFP 128K 8K 8K

80 QFP 64 LQFP KGD (Die)9S12XS64112 LQFP 64K 4K 4K

80 QFP 64 LQFP KGD (Die)

Table 2 Peripheral Options of MC9S12XS-Family Members

Device

Package

CAN

SCI

SPI

TIM

PIT

A/D

PWM

9S12XS256

112 LQFP 1218ch 4ch 16ch 8ch 80 QFP 1218ch 4ch 8ch 8ch 64 LQFP 1218ch 4ch 8ch 8ch KGD (Die)1218ch 4ch 16ch 8ch 9S12XS128

112 LQFP 1218ch 4ch 16ch 8ch 80 QFP 1218ch 4ch 8ch 8ch 64 LQFP 1218ch 4ch 8ch 8ch KGD (Die)1218ch 4ch 16ch 8ch 9S12XS64

112 LQFP 1218ch 4ch 16ch 8ch 80 QFP 1218ch 4ch 8ch 8ch 64 LQFP 1218ch 4ch 8ch 8ch KGD (Die)1218ch 4ch 16ch 8ch

Pin Assignments Pin Assignments

Table3 Port Availability by Package Option

Port112 LQFP80 QFP64 LQFP KGD (Die) Port AD/ADC Channels16/168/88/816/16

Port A pins8848

Port B pins8848 Port E pins inc. IRQ/XIRQ input only8848

Port H8008

Port J4204

Port K7007

Port M8668

Port P8758

Port S8448

Port T8888

Sum of Ports91594491 I/O Power Pairs VDDX/VSSX2/22/22/22/2

Pin Assignments

Table 4 Peripheral - Port Routing Options (1)

NOTES:

1.“X”denotes reset condition,“O”denotes a possible rerouting under software control

S C I 1

S P I 0

P W M

T I M PM[1:0]O

PM[5:2]O

PP[2,0]O

PP[2:0]O

PP[7:4]X

PS[3:2]X

PS[7:4]X

PT[2,0]X

PT[7:4]O Table 5 Pin-Out Summary (1)

LQFP 112QFP 80LQFP 64KGD (Die)Pin

2nd Func.3rd Func.4th Func.

5th Func.

1111PP3KWP3PWM32222PP2KWP2PWM2IOC2TXD1

3333PP1KWP1PWM1IOC14444PP0KWP0

PWM0

IOC0

RXD15--5PK36--6PK27--7PK18--8PK09559PT0IOC010

6

6

10

PT1

IOC1

Pin Assignments

117711PT2IOC2128812PT3IOC3

139913VDDF 14101014VSS115111115PT4IOC4PWM416121216PT5IOC5PWM517131317PT6IOC6PWM618141418PT7IOC7

PWM7

19--19PK520--20PK421--21PJ1KWJ122--22PJ0KWJ023151523BKGD MODC 24161624PB02517-25PB12618-26PB22719-27PB32820-28PB429211729PB530221830PB631231931PB732--32PH7KWH733--33PH6KWH634--34PH5KWH535--35PH4KWH436242036PE7XCLKS ECLKX2

3725-37PE6MODB 3826-38PE5MODA 39

27

21

39

PE4

ECLK

Table 5 Pin-Out Summary (1)

LQFP 112QFP 80LQFP 64KGD (Die)Pin

2nd Func.3rd Func.

4th Func.

5th Func.

Pin Assignments

40282240VSSX241292341VDDX242302442RESET 43312543VDDR 44322644VSS345332745VSSPLL 46342846EXTAL 47352947XT AL 48363048VDDPLL 49--49PH3KWH350--50PH2KWH251--51PH1KWH152--52PH0KWH05337-53PE35438-54PE255393155PE1IRQ 56403256PE0XIRQ 57413357P A058423458P A159433559P A260443660P A36145-61P A46246-62P A56347-63P A66448-64P A765493765VDD 66503866VSS267513967P AD00AN0068

--68

P AD08

AN08Table 5 Pin-Out Summary (1)

LQFP 112QFP 80LQFP 64KGD (Die)Pin 2nd Func.

3rd Func.

4th Func.

5th Func.

Pin Assignments

69524069P AD01AN0170--70P AD09AN0971534171P AD02AN0272--72P AD10AN1073544273P AD03AN0374--74P AD11AN1175554375P AD04AN0476--76P AD12AN1277564477P AD05AN0578--78P AD13AN1379574579P AD06AN0680--80P AD14AN1481584681P AD07AN0782--82P AD15AN15

83594783VDDA 84604884VRH 85614985VRL (2)86624986VSSA 87--87PM788--88PM689635089PS0RXD090645190PS1TXD091655291PS2RXD192665392PS3TXD193--93PS4MISO094--94PS5MOSI095--95PS6SCK096--96PS7SS097

67

54

97

TEST

Table 5 Pin-Out Summary (1)

LQFP 112QFP 80LQFP 64KGD (Die)Pin 2nd Func.3rd Func.

4th Func.

5th Func.

Pin Assignments

9868-98PJ7KWJ79969-99PJ6KWJ61007055100PM5SCK010********PM4MOSI010********PM3SS010********PM2MISO010********PM1TXCAN0TXD11057560105PM0RXCAN0

RXD11067661106VSSX11077762107VDDX1108--108PK71097863109PP7KWP7PWM7110--110PP6KWP6PWM61117964111PP5KWP5PWM511280

-112

PP4

KWP4

PWM4

NOTES:

1. Table shows a superset of pin functions. Not all functions are available on all derivatives

2. VRL and VSSA share single pin on 64-pin package option

Table 5 Pin-Out Summary (1)

LQFP 112QFP 80LQFP 64KGD (Die)Pin

2nd Func.3rd Func.

4th Func.

5th Func.

Pin Assignments

Figure 2.MC9S12XS-Family Pin Assignments 112-pin LQFP Package

VRH VDDA

PAD15/AN15PAD07/AN07PAD14/AN14PAD06/AN06PAD13/AN13PAD05/AN05PAD12/AN12PAD04/AN04PAD11/AN11PAD03/AN03PAD10/AN10PAD02/AN02PAD09/AN09PAD01/AN01PAD08/AN08PAD00/AN00VSS2VDD PA7PA6PA5PA4PA3PA2PA1PA0

P P 4/K W P 4/P W M 4P P 5/K P W 5/P W M 5P P 6/K W P 6/P W M 6P P 7/K W P 7/P W M 7P K 7V D D X 1V S S X 1P M 0/R X C A N 0/R X D 1P M 1/T X C A N 0/T X D 1P M 2/M I S O 0P M 3/S S 0P M 4/M O S I 0P M 5/S C K 0P J 6/K W J 6P J 7/K W J 7T E S T P S 7/S S 0P S 6/S C K 0P S 5/M O S I 0P S 4/M I S O 0P S 3/T X D 1P S 2/R X D 1P S 1/T X D 0P S 0/R X D 0P M 6P M 7V S S A V R L

PWM3/KWP3/PP3

TXD1/IOC2/PWM2/KWP2/PP2

IOC1/PWM1/KWP1/PP1RXD1/IOC0/PWM0/KWP0/PP0

PK3PK2PK1PK0IOC0/PT0IOC1/PT1IOC2/PT2IOC3/PT3VDDF VSS1

PWM4/IOC4/PT4

VREG_API/PWM5/IOC5/PT5

PWM6/IOC6/PT6PWM7/IOC7/PT7

PK5PK4KWJ1/PJ1KWJ0/PJ0MODC/BKGD

PB0PB1PB2PB3PB4

P B 5P B 6P B 7K W H 7/P H 7K W H 6/P H 6K W H 5/P H 5K W H 4/P H 4X C L K S /E C L K X 2/P E 7P E 6P E 5E C L K /P E 4V S S X 2V D D X 2R E S E T V D D R V S S 3V S S P L L E X T A L X T A L V D D P L L K W H 3/P H 3K W H 2/P H 2K W H 1/P H 1K W H 0/P H 0P E 3P E 2I R Q /P E 1X I R Q /P E 0

Pins shown in BOLD are not available on the 80 QFP

package

MC9S12XS-Family

112LQFP

12345678910111213141516171819202122232425262728

11211111010910810710610510410310210110099989796959493929190898887868529303132333435363738394041424344454647484950515253545556

84838281807978777675747372717069686766656463626160595857

Pin Assignments

Figure 3.MC9S12XS-Family Pin Assignments 80-pin QFP Package

1234567891011121314151617181920

2122232425262728293031323334353637383940

VRH VDDA

P AD07/AN07P AD06/AN06P AD05/AN05P AD04/AN04P AD03/AN03P AD02/AN02P AD01/AN01P AD00/AN00VSS2VDD PA7PA6PA5PA4P A3P A2P A1P A0

P B 5P B 6P B 7X C L K S /E C L K X 2/P E 7P E 6P E 5E C L K /P E 4V S S X 2V D D X 2R E S E T V D D R V S S 3V S S P L L E X T A L X T A L V D D P L L P E 3P E 2I R Q /P E 1X I R Q /P E 06059585756555453525150494847464544434241

8079787776757473727170696867666564636261

MC9S12XS-Family

80QFP

PWM3/KWP3/PP3

TXD1/IOC2/PWM2/KWP2/PP2

IOC1/PWM1/KWP1/PP1RXD1/IOC0/PWM0/KWP0/PP0

IOC0/PT0IOC1/PT1IOC2/PT2IOC3/PT3VDDF VSS1

PWM4/IOC4/PT4

VREG_API/PWM5/IOC5/PT5

PWM6/IOC6/PT6PWM7/IOC7/PT7MODC/BKGD

PB0PB1PB2PB3PB4P P 4/K W P 4/P W M 4P P 5/K P W 5/P W M 5P P 7/K P W 7/P W M 7V D D X 1V S S X 1P M 0/R X C A N 0/R X D 1P M 1/T X C A N 0/T X D 1P M 2/M I S O 0P M 3/S S 0P M 4/M O S I 0P M 5/S C K 0P J 6/K W J 6P J 7/K W J 7T E S T P S 3/T X D 1P S 2/R X D 1P S 1/T X D 0P S 0/R X D 0V S S A V R L

Pins shown in BOLD are not available on the 64

QFP package

Pin Assignments

Figure 4.MC9S12XS-Family Pin Assignments 64-pin LQFP Package

12345678910111213141516

17181920212223242526272829303132

48474645444342414039383736353433

64636261605958575655545352515049

MC9S12XS-Family

64LQFP

VRH VDDA

P AD07/AN07P AD06/AN06P AD05/AN05P AD04/AN04P AD03/AN03P AD02/AN02P AD01/AN01P AD00/AN00VSS2VDD P A3P A2P A1P A0

P B 5P B 6P B 7X C L K S /E C L K X 2/P E 7E C L K /P E 4V S S X 2V D D X 2R E S E T V D D R V S S 3V S S P L L E X T A L X T A L V D D P L L I R Q /P E 1X I R Q /P E 0PWM3/KWP3/PP3

TXD1/IOC2/PWM2/KWP2/PP2

IOC1/PWM1/KWP1/PP1RXD1/IOC0/PWM0/KWP0/PP0

IOC0/PT0IOC1/PT1IOC2/PT2IOC3/PT3VDDF VSS1

PWM4/IOC4/PT4

VREG_API/PWM5/IOC5/PT5

PWM6/IOC6/PT6PWM7/IOC7/PT7MODC/BKGD

PB0

P P 5/K P W 5/P W M 5P P 7/K W P 7/P W M 7V D D X 1V S S X 1P M 0/R X C A N 0/R X D 1P M 1/T X C A N 0/T X D 1P M 2/M I S O 0P M 3/S S 0P M 4/M O S I 0P M 5/S C K 0T E S T P S 3/T X D 1P S 2/R X D 1P S 1/T X D 0P S 0/R X D 0V S S A /V R L

Memory Map

Memory Map

Figure 5.MC9S12XS 16-bit Memory Map

NORMAL SINGLE CHIP

SPECIAL SINGLE CHIP

VECTORS 16K Fixed Flash

2K, 4K, 8K or 16K

16K Page Window

PPAGE * 16K Flash Pages

16K Fixed Flash (Remappable range)

1K, 2K, 4K or 8K Protected Sector

2K Register Space

RAM

Up to 8K Fixed RAM depending on derivative

BDM 0x0000

0xFFFF

0xC000

0x8000

0x4000

0x08000x1000

0xFF000x2000

Protected Boot Sector

RAM

RPAGE * 4K pages accessible through 0x1000 - 0x1FFF

Reserved 0x0C00

DFLASH

EPAGE * 1K pages accessible through 0x0800 - 0x0BFF

Memory Map

Figure 6MC9S12XS Global Memory Map

0x7F_FFFF

0x00_0000

0x13_FFFF

0x0F_FFFF

DFLASH

RAM

0x00_07FF

RP AGE

PP AGE

0x3F_FFFF

CPU and BDM

Local Memory Map

Global Memory Map

FLASH

F L A S H S I Z E

Unimplemented

FLASH

0xFFFF

Vectors

0xC000

0x8000

Unpaged 0x4000

0x1000

0x000016K FLASH window

0x2000

0x08008K RAM

4K RAM window

2K REGISTERS 16K FLASH

Unpaged 16K FLASH

2K REGISTERS

Unimplemented

RAM

Unimplemented

Space

RAM_LOW

FLASH_LOW

R A M S I Z E DF_HIGH

DFLASH Resources

Reserved EPAGE

1K DFLASH window

0x0C00

Revision History

Revision History

Table 6Memory Sizes per Derivative

Device FLASH_LOW SIZE/PPAGE (1)NOTES:

1. Number of 16K pages addressable via PPAGE register RAM_LOW SIZE/RPAGE (2)

2. Number of 4K pages addressing the RAM.DF_HIGH EPAGE (3)

3. Number of 1K pages addressing the DFLASH

9S12XSx2560x7C_0000256K / 160x0F_D00012K / 30x10_1FFF 8K / 89S12XSx1280x7E_0000128K / 80x0F_E0008K / 20x10_1FFF 8K / 89S12XSx640x7F_0000

64K / 4

0x0F_F000

4K / 1

0x10_0FFF

4K / 4

Table 7 Revision History

Version Number Revision Date Author Description

0.00

12-Jan-2006

DB

Initial version. Based on 9S12XEFAMPP rev 0.100.0127-Mar-2006DB

Removed ECLKX2

Added 48qfn mechanical diagram

Altered 48pin and 52pin pinouts - share VRH/VDDA1 &VRL/VSSA1.

Changed and simpli?ed routing of peripherals.0.0229-Mar-2006DB

Fixed SPI signal ordering on Port M Fixed various typos

Added VRH, VRL, VDDA and VSSA to block diagram 0.034-Apr-2006RF

Removed SPI from PM[0:1,6:7]Added SCI1 to PM[0:1]

Altered 48pin and 52pin pinouts

0.046-Apr-2006RF Removed routing options for SCI1, PWM, TIM on 112 and 80Removed SPI from PP[0:3]0.057-Apr-2006RF Added SCI1 to PT[0,2]

0.06

28-Apr-2006

DB

Updated Block Diagram to re?ect peripheral routings.Added SPI0 routing to PS[7:4] in Table 4.

飞思卡尔单片机编程

关于Codewarrior 中的 .prm 文件 网上广泛流传的一篇文章讲述的是8位飞思卡尔单片机的内存映射,这几天,研究了一下Codewarrior 5.0 prm文件,基于16位单片机MC9S12XS128,一点心得,和大家分享。有什么错误请指正。 正文: 关于Codewarrior 中的.prm 文件 要讨论单片机的地址映射,就必须要接触.prm文件,本篇的讨论基于Codewarrior 5.0 编译器,单片机采用MC9S12XS128。 通过项目模板建立的新项目中都有一个名字为“project.prm”的文件,位于Project Settings->Linker Files文件夹下。一个标准的基于XS128的.prm文件起始内容如下: .prm文件范例: NAMES END SEGMENTS RAM = READ_WRITE DATA_NEAR 0x2000 TO 0x3FFF;

READ_ONLY DATA_NEAR IBCC_NEAR 0x4000 TO 0x7FFF; ROM_C000 = READ_ONLY DATA_NEAR IBCC_NEAR 0xC000 TO 0xFEFF; //OSVECTORS = READ_ONLY 0xFF10 TO 0xFFFF; EEPROM_00 = READ_ONLY DATA_FAR IBCC_FAR 0x000800 TO 0x000BFF; EEPROM_01 = READ_ONLY DATA_FAR IBCC_FAR 0x010800 TO 0x010BFF; EEPROM_02 = READ_ONLY DATA_FAR IBCC_FAR 0x020800 TO 0x020BFF; EEPROM_03 = READ_ONLY DATA_FAR IBCC_FAR 0x030800 TO 0x030BFF; EEPROM_04 = READ_ONLY DATA_FAR IBCC_FAR 0x040800 TO 0x040BFF; EEPROM_05 = READ_ONLY DATA_FAR IBCC_FAR 0x050800 TO 0x050BFF; EEPROM_06 = READ_ONLY DATA_FAR IBCC_FAR 0x060800 TO 0x060BFF; EEPROM_07 = READ_ONLY DATA_FAR IBCC_FAR 0x070800 TO 0x070BFF; PAGE_F8 = READ_ONLY DATA_FAR IBCC_FAR 0xF88000 TO 0xF8BFFF;

飞思卡尔单片机LED控制例程详解

我的第一个LED程序 准备工作: 硬件:Freescale MC9S08JM60型单片机一块; 软件:集成开发环境codewarrior IDE; 开发板上有两个LED灯,如下图所示: 实验步骤: 1.首先,确保单片机集成开发环境及USBDM驱动正确安装。其中USBDM的安装步骤如下:?假设之前安装过单片机的集成开发环境6.3版本:CW_MCU_V6_3_SE; ?运行USBDM_4_7_0i_Win,这个程序会在c盘的程序文件夹下增加一个目录C:\Program Files\pgo\USBDM 4.7.0,在这个目录下: 1〉C:\ProgramFiles\pgo\USBDM 4.7.0\FlashImages\JMxx下的文件 USBDM_JMxxCLD_V4.sx是下载器的固件文件; 2〉C:\Program Files\pgo\USBDM 4.7.0\USBDM_Drivers\Drivers下有下载器的usb 驱动 所以在插入usb下载器,电脑提示发现新的usb硬件的时候,选择手动指定驱动 安装位置到以上目录即可。 ?运行USBDM_4_7_0i_Win之后,还会在目录: C:\Program Files\Freescale\CodeWarrior for Microcontrollers V6.3\prog\gdi 下增加一些文件,从修改时间上来看,增加了6个文件,这些文件是为了在codewarrior 集成开发环境下对usb下载器的调试、下载的支持。

2.新建一个工程,工程建立过程如下: ?运行单片机集成开发环境codewarrior IDE ?出现如下界面 ●Create New Project :创建一个新项目工程 ●Load Example Project :加载一个示例工程 ●Load Previous Project :加载以前创建过的工程 ●Run Getting started Tutorial:运行CodeWarrior软件帮助文档 ●Start Using CodeWarrior:立刻使用CodeWarrior ?点击Create New project按钮,以创建一个新的工程,出现选择CPU的界面 如下,请选择HCS08/HCS08JM Family/MC9S08JM60,在右边的Connection窗口

飞思卡尔单片机寄存器及汇编指令详解

附录I:寄存器地址列表 直接页面寄存器总结

高页面寄存器总结

非易失寄存器总结 注:直接页面寄存器表地址的低字节用粗体显示,直接寻址对其访问时,仅写地址低字节即可。第2列中寄存器名用粗体显示以区别右边的位名。有0的单元格表示未用到的位总是读为0,有破折号的单元格表示未用或者保留,对其读不定。

附录II 指令接与寻址方式 HCS08指令集概括 运算符 () = 括号种表示寄存器或存储器位置的内容 ← = 用……加载(读: “得到”) & = 布尔与 | = 布尔或 ⊕= 布尔异或 ×= 乘 ÷ = 除 : = 串联 + = 加 - = 求反(二进制补码) CPU registers A =>累加器 CCR =>条件代码寄存器 H =>索引寄存器,高8位 X => 索引寄存器,低8位 PC =>程序计数器 PCH =>程序计数器,高8位 PCL =>程序计数器,低8位 SP =>堆栈指针 存储器和寻址 M =>一个存储区位置或者绝对值数据,视寻址模式而定 M:M + 0x0001 => 两个连续存储位置的16位值.高8位位于M的地址,低8位位于更高的连续地址. 条件代码寄存器(CCR)位 V => 二进制补码溢出指示,第7位 H => 半进位,第4位 I => 中断屏蔽,第 3位 N => 求反指示器, 第2位 Z => 置零指示器, 第1位 C => 进/借, 第0位 (进位第 7位 ) CCR工作性符号 – => 位不受影响 0 = > 位强制为0 1 = > 位强制为1

= >根据运算结果设置或清除位 U = > 运算后没有定义 机器编码符号 dd =>一个直接寻址0x0000–0x00FF的低8位(高字节假设为0x00) ee => 16位偏移量的高8位 ff => 16位偏移量的低8位 ii => 立即数的一个字节 jj => 16位立即数值的高位字节 kk => 16位立即数值的低位字节 hh => 16位扩展寻址的高位字节 ll => 16位扩展寻址的低位字节 rr => 相对偏移量 n —任何表达范围在0–7之间的一个有符号数的标号或表达式 opr8i —任何一个表达8位立即值的标号或表达式 opr16 —任何一个表达16位立即值的标号或表达式 opr8a —任何一个表达一个8位值的标号或表达式.指令对待这个8位值为直接页面64K 字节地址空间(0x00xx)中地址的低8位. opr16a —任何一个表达16位值的标号或表达式.指令对待这个值为直接页面64K字节地址空间. oprx8 —任何一个表达8位无符号值的标号或表达式,用于索引寻址. oprx16 —任何一个16位值的标号或表达式.因为HCS08有一个16位地址总线,这可以为一个有符号或者无符号值. rel —任何指引在当前指令目标代码最后一个字节之后–128 to +127个字节之内的标号或表达式.汇编器会计算包括当前指令目标代码在内的8位有符号偏移量. 寻址方式 隐含寻址(Inherent)如CLRA,只有操作码,无操作数,需要操作的数据一般为CPU寄存器,因此不需要再去找操作数了。(INH) 立即寻址 (Immediate)如LDA #$0A,“$”表示16进制,此时操作数位于FLASH空间,与程序一起存放。(IMM) 直接寻址 (Direct)如 LDA $88,只能访问$0000-$00FF的存储器空间,指令短速度快; (DIR) 扩展寻址 (Extended)如果操作数地址超出了$00FF,自动为扩展寻址;(EXT) 相对寻址(Relative)如BRA LOOP,指令中一般给出8位有符号数表示的偏移量。(REL) 变址寻址 (Indexed) 采用[H:X]或SP作为指针的间接寻址方式。( IX )( IX1 )( IX2 ) 变址寻址 (Indexed) 1〉无偏移量:CLR ,X 简写(IX) 2〉无偏移量,指令完成后指针加1(H:X = H:X + 0x0001) ,简写(IX+)只用于指令MOV和CBEQ指令中;

飞思卡尔单片机知识点

1、单片机组成:1> CPU 2> 存储器3>I/O ; 2、存储器包括2大类:ROM , RAM 3、标准ASCII码使用(1)个字节表示字符; 4、BCD码是用()进制表示的()的数据; 5、HCS08QG8的最小系统包括(电源电路,复位电路,下载口,(内部时钟)); 6、QG8管脚数量(16)、只能输入的是(PTA5)、只能输出的是(PTA4)、程序下载的是、接外部时钟的是; 7、QG8的管脚可以作为数字输入输出、也可以作为模拟输入,可以作为模拟输入的有(); 8、QG8管脚复用优先级最低的功能是(I/O); 9、QG8存储器配置中,不同资源的分界线……; 10、CPU寄存器有(A, HX, PC, CCR, SP); 11、可以执行位操作的地址范围(0X0000~0X005F); 12、有地址的寄存器分成了(3)块(0页,高页,非易失); 13、如何在C语言中定义常数(数据类型变量名;),如何指定变量的地址(数据类型变量名@ 地址;); 14、堆栈的管理者是寄存器(SP); 15、SP的复位缺省值是(0X00FF); 16、堆栈对数据的操作特点是(向上生长型:先压后涨、先减后弹); 17、堆栈一般在RAM的高地址区域还是低地址区域?高地址区 18、内部时钟源包括哪4大部分? 19、外部时钟分哪2大类;振荡器,整形外部时钟 20、内部时钟中FLL固定倍频(512倍频); 21、ICS的7种工作模式(FEI, FEE, FBI, FBILP, FBE, FBELP, stop); 22、ICS的内部参考时钟是可以校准、微调的,调整的寄存器名(ICSTRM);该寄存器的数值越大,输出时钟频率越(低); 23、FLASH是按页管理的,页大小(512)字节,每页分(8)行; 24、高页寄存器位于FLASH的最后一页的(第六行/0xFFB0~0xFFBF)位置; 25、FLASH的最后一页最后一行是(中断向量); 26、FLASH块保护寄存器(FPROT);块加密寄存器(FOPT);对应的非易失寄存器分别是(NVOPT, NVPROT); 27、FLASH操作的一般过程是(); 28、FLASH操作的有效命令有(空检查,字节编程,突发模式编程,页擦除,全部ROM 擦除); 29、记录程序运行状态的CPU寄存器是(CCR); 30、指令系统包括6大类指令,分别是(算术运算指令、数据传送指令、数据和位操作、逻辑运算、程序控制、堆栈处理); 31、寻址方式是指(CPU访问操作数和数据的方法); 32、寻址方式包括7大类16种,分别是: INH IMM DTR EXT IX,IX1,IX2,SP1,SP2,IX+,IX1+ REL IMD, DD,IX+D,DIX+ 33、8指令模板和6指令模板分别是(); 34、QG8是高电平复位还是低电平复位?低电平 35、QG8数据存储器RAM的大小为(512)字节; 36、上电复位期间将管脚(A4)设置为(低)电平可以进入调试模式 37、QG8的存储器结构为冯·诺伊曼还是哈佛结构?冯诺依曼

基于飞思卡尔单片机的智能汽车设计毕业设计(论文)

基于飞思卡尔单片机的智能汽车设计 摘要 本智能车系统设计以 MC9S12DG128B 微控制器为核心,通过一个CMOS 摄像头检测模型车的运动位置和运动方向,使用LM1881视频分离芯片对图像进行处理,用光电传感器检测模型车的速度并使用PID 控制算法调节驱动电机的转速和舵机的方向,完成对模型车运动速度和运动方向的闭环控制。为了提高智能车的行驶速度和可靠性,采用了自制的电路板,在性能和重量上有了更大的优势,对比了各种方案的优缺点。实验结果表明,系统设计方案可行 关键词:MC9S12DG128,CMOS 摄像头,PID

The Research of Small and Medium-sized Electric Machines in Fuan City Author:Yao fang Tutor:Ma shuhua Abstract Fujian Fuan City industry of electric motor and electrical equipment is the one of the most representative phenomenon of industry cluster in Fujian Province mechanical industry. Its output value of small and medium-sized electric machines accounts for 20% of the whole province’s electrical equipment indu stry. The output amount of small and medium-sized electric machines from this region takes up 1/3 of that of the whole nation. Fuan electric motor and electrical equipment industry plays a significant role in the development of local national economy, being considered to be the main growth point of local economy and called "the Chinese electric motor and electrical equipment city ". This paper launched a research on small and medium- sized electric machines in Fuan city from two angles. The first one inferred the situation of Fuan electric machine industrial cluster as well as the analysis of the temporary existed problems, and then propose a few of suggestions on the part of local government. The second part focus on the improvement of the competitiveness of Fuan electric machine enterprises, through the application of Michael Porter's Five Forces Model into the local industry of electric machine, consequently carried out some strategies local enterprises should take. Key Words: small and medium-sized electric machines, Five Forces Model, industrial cluster

飞思卡尔单片机优点

常有人问freescale的单片机有什么优点,今天转篇别人写的文章来,可以部分回答这些朋友的问题,但需要说明的是下面这篇文章主要是针对S08,S12这类单片机说的,飞思卡尔处理器远非只是单片机。飞思卡尔(freescale)半导体公司,就是原来的Motorola公司半导体产品部。于2004年从Motorola分离出来,更名为freescale!freescale系列单片机采用哈佛结构和流水线指令结构,在许多领域内都表现出低成本,高性能的的特点,它的体系结构为产品的开发节省了大量时间。此外freescale提供了多种集成模块和总线接口,可以在不同的系统中更灵活的发挥作用!所有单片机都具有的功能我就不多说了,freescale单片机的特有的特点如下: (1)全系列: 从低端到高端,从8位到32位全系列应有尽有,最近还新推出8位/32位管脚兼容的QE128,可以从8位直接移植到32位,弥补单片机业界8/32 位兼容架构中缺失的一环! (2)多种系统时钟模块:三种模块,七种工作模式 多种时钟源输入选项,不同的mcu具有不同的时钟产生机制,可以是RC振荡器,外部时钟或晶振,也可以是内部时钟,多数CPU同时具有上述三种模块!可以运行在FEI,FEE,FBI,FBILP,FBE,FBELP,STOP这七种工作模式! (3)多种通讯模块接口: 与其它系列的单片机不同,freescale单片机几乎在内部集成各种通信接口模块:包括串行通信接口模块SCI,多主I2C总线模块,串行外围接口模块SPI,MSCAN08控制器模块,通用串行总线模块(USB/PS2)! (4)具有更多的可选模块:某些MCU具有LCD驱动模块,某些MCU带有温度传感器,某些MCU具有超高频发送模块,部分MCu含有同步处理器模块,某写含有同步处理器的MCU 还具有屏幕显示模块OSD,还有少数的MCU具有响铃检测模块RING和双音多频/音调发生器DMG模块! (5)可靠性高,抗干扰性强 (6)低功耗 也许freescale系列的单片机的功耗没有msp430的低,但是他具有全静态的“等待”和“停止”两种模式,从总体上降低您的功耗!新近推出的几款超低功耗已经与msp430的不相上下! (7)多种引脚数和封装选择 可以说freescale系列单片机具有的MCU种类是最多的了,有些MCU本身就有几种不同的引脚数和封装形式,这样用户各异根据需要来选择,总有一款适合你的开发的单片机! 有关于部分人的freescale单片机模块寄存器多,配置困难不容易上手,可以说freescale单片机模块寄存器的确相对多,就拿GPIO来说就有端口数据寄存器、端口数据方向寄存器、端口内部上拉使能寄存器、端口转换率使能寄存器和端口驱动强度选择寄存器5个寄存器,它的寄存器多是为了解决客户对IO端口的高要求和高可靠性要求,如果不考虑这些,您就只需要配置端口数据寄存器、端口数据方向寄存器这两个寄存器,这就和其他的单片机如430和pic 的难易度一样了! 独有的BDM仿真开发方式和单一引脚用于模态选择和背景通信,HCS08 的开发支持系统包括了背景调试控制器(BDC)和片内调试模块(DBG),BDC提供了一个至目标MCU 的单线调试接口,也就是提供了一个便于在片内FLASH 或其它固定存储器编程的接口.

基于飞思卡尔单片机自动循迹小车控制的设计

摘要 随着我国的电子科技的不断发展,我们生活中的自动化设备越来越多,也为嵌入式在智能化上的研究提供了一个广阔的平台。 本系统以MK60DN512VMD100微控制器为核心控制单元,选用OV7620 CMOS 模拟摄像头检测赛道信息,高速AD转换芯片选用TCL5510,将提取后的灰度图像进行软件二值化,进而提取赛道信息;用光电编码器实时检测小车的实时速度,采用PID控制算法调节电机的速度以及舵机转向,从而实现速度和方向的闭环控制。 关键字:MK60DN512VMD100,OV7620 CMOS,软件二值化,PID

Abstract With the continuous development of electronic technology, more and more automation equipment into the production life of the people, the rapid development of embedded intelligent study provides a broader platform. In this paper, the design of intelligent vehicle system MK60DN512VMD100 microcontroller as the core control unit, the selection of OV7620 CMOSanalog cameras to detect the track information, to using TCL5510 high-speed AD converter chip, software binarization image, extract the white guide line for identification of the track information; optical encoder to detect the real-time speed of the model car, using the PID control algorithm to adjust the speed of the drive motor and steering the angle of the steering gear, in order to achieve closed-loop control of velocity and direction of the model car. Keywords: MK60DN512VMD100,OV7620 CMOS,software binarization, PID

飞思卡尔单片机各种功能程序

流水灯四种效果: #include /* common defines and macros */ #include #include /* derivative information */ #pragma LINK_INFO DERIV ATIVE "mc9s12xdp512" #include "main_asm.h" /* interface to the assembly module */ unsigned char temp; //unsigned char pa @0x200; //unsigned char pb @0x202; unsigned char key; static void delay(void) { volatile unsigned long i; for(i=0;i<100000;i++); } static unsigned char random; static void Random(void) { random = (unsigned char)rand(); } void effect1() { unsigned char c; for(c=0;c<=6;c++) { delay(); PORTB = ~(1<=1;c--) { delay(); PORTB = ~(1<=1;c--) {

毕业论文--基于飞思卡尔单片机的智能车设计(含外文翻译)

毕业设计(论文)任务书 课题名称:基于飞思卡尔单片机的智能车设计 完成期限:2009年12月 1日至2010年 5月 10日

一、课题训练内容 通过以全国大学生“飞思卡尔”杯智能车竞赛为背景,设计一台能够自主循迹的小车。整个开发中,严格执行“飞思卡尔”杯智能车竞赛的比赛规则。 二、设计(论文)任务和要求 (1)查阅课题相关参考文献、技术资料,做好备份,以便以后查找; (2)充分分析相关素材,比较多个方案,选择一种完成设计任务; (3)分析和选取完成任务的技术途径和实施方法,第四周前上交毕业设计开题报 告一份。开题报告内容与学校模板要求一致,字数不少于2000字;经指导老师检查合格后才能进行后续工作; (4)补充必要的理论和技术知识,查找相关的元件、器件的参数资料; (5)给出详细的系统设计说明书,画出原理电路图,分析各部分电路功能及原理; (6)根据系统要求,进行硬件设计以及理论数据计算,给出相关参数; (7)根据系统要求,给出系统控制的流程图,编写详细程序; (8)根据系统要求,制作实物和安装调试; (9)撰写毕业设计论文,内容和格式按学校要求执行,(具体要求在学校教务网 的下载专区下载:设计论文规范、格式模板、任务书、开题报告、成绩记录等9个文件)。 三、毕业设计(论文)主要参数及主要参考资料 主要参数: (1)赛道为普通白色板,宽度为60cm,赛道正中间为2.5cm的黑色普通胶带, 铺设赛道地板颜色不作要求,它和赛道之间可以但不一定有颜色差别, 跑道最小曲率半径不小于 50 厘米,跑道可以交叉,交叉角为90 °, 赛道有一个长为1米的出发区,计时起始点两边分别有一个长度10厘米 黑色计时起始线,赛车前端通过起始线作为比赛计时开始或者结束时刻。 (2)须采用飞思卡尔半导体公司的 8 位、 16 位处理器 ( 单核 ) 作为唯一 的微控制器,推荐使用 9S12XS128 ,9S08AW60 微控制器; (3)比赛车模采用官方规定的本成品车模; (4)模型车的电源采用官方的7.2V/2000mA的电池,舵机采用制定的s3010;

1飞思卡尔8位单片机MC9S08JM60开发板实践教程-60页word资料

第一章搭建实验环境 1、实验电路板及下载器实物图片 2、实验电路图 本实验图包含两大部分,分别是CPU.SCH和实验资源.SCH。CPU采用飞思卡尔8位单片机MC9S08JM60CLD,(电路图介绍) 图1-3 实验资源部分电路 图1-4 LCD串口1602液晶电路 图1-5

RS232接口电路 图1-6 数码管显示电路 图1-7 发光管、ad转换以及按键电路 图1-8 3、集成开发软件环境的建立 1〉运行文件CW_MCU_V6_3_SE.EXE,在电脑C盘安装飞思卡尔8位(及简化32位)单片机集成开发环境codewa rrior6.3版本 2〉运行USBDM_4_7_0i_Win,这个程序会在c盘的程序文件夹下增加一个目录C:\Program Files\pgo\USBDM 4.7.0,在这个 目录下

a>C:\Program Files\pgo\USBDM 4.7.0\FlashImages\JMxx 下的文件USBDM_JMxxCLD_V4.sx是下载器的固件文件; b>C:\Program Files\pgo\USBDM 4.7.0\USBDM_Drivers\Drivers下有下载器的usb驱动.因 此在插入usb下载器,电脑提示发现新的usb硬件的时候, 选择手动指定驱动安装位置到以上目录即可。 3〉运行USBDM_4_7_0i_Win之后,还会在目录: C:\Program Files\Freescale\CodeWarrior for Microcontrollers V6.3\prog\gdi下增加一些文件,从修改时间上来看,增加了6个文件,这些文件是为了在codewarrior集成开发环境下对usb下载器的调试、下载的支持。 4、C语言编程基础 第二章 LED闪烁程序编写过程 1、新建工程 运行单片机集成开发环境codewarrior IDE 出现如下界面 ●Create New Project :创建一个新项目工程 ●Load Example Project :加载一个示例工程 ●Load Previous Project :加载以前创建过的工程 ●Run Getting started Tutorial:运行CodeWarrior软件帮 助文档 ●Start Using CodeWarrior:立刻使用CodeWarrior

飞思卡尔单片机编程 之天职师大

天职师大期末必考 关于Codewarrior 中的 .prm 文件 网上广泛流传的一篇文章讲述的是8位飞思卡尔单片机的内存映射,这几天,研究了一下Codewarrior 5.0 prm文件,基于16位单片机MC9S12XS128,一点心得,和大家分享。有什么错误请指正。 来源:(https://www.wendangku.net/doc/6510320680.html,/s/blog_60281b700100gbp6.html) - 关于Codewarrior 中的 .prm 文件_LiangXiangTai_新浪博客 正文: 关于Codewarrior 中的.prm 文件 要讨论单片机的地址映射,就必须要接触.prm文件,本篇的讨论基于Codewarrior 5.0 编译器,单片机采用MC9S12XS128。 通过项目模板建立的新项目中都有一个名字为“project.prm”的文件,位于Project Settings->Linker Files文件夹下。一个标准的基于XS128的.prm文件起始内容如下: .prm文件范例:

NAMES END SEGMENTS RAM = READ_WRITE DATA_NEAR 0x2000 TO 0x3FFF; ROM_4000 = READ_ONLY DATA_NEAR IBCC_NEAR 0x4000 TO 0x7FFF; ROM_C000 = READ_ONLY DATA_NEAR IBCC_NEAR 0xC000 TO 0xFEFF; //OSVECTORS = READ_ONLY 0xFF10 TO 0xFFFF; EEPROM_00 = READ_ONLY DATA_FAR IBCC_FAR 0x000800 TO 0x000BFF; EEPROM_01 = READ_ONLY DATA_FAR IBCC_FAR 0x010800 TO 0x010BFF;

飞思卡尔讲解

哈尔滨工程大学本科生毕业论文 第1章绪论 1.1论文研究的背景 闭环控制是自动控制论的一个基本概念,也称反馈控制,在日常生活的各种控制实例中有具体的表现方式,比如常用交通工具中电车的速度控制,汽车的速度控制,冰箱的温度调节等,其中采用闭环控制方案对直流电机进行调速是生产生活中最常见的一种闭环控制实例。在工业自动化飞速发展的今天,利用高性能单片机来完成对仪器设备的自动化控制是其中最重要的一个环节。本文研究对象是基于Freescale单片机的移动小车控制系统设计,涉及到对直流电机的速度控制,倒车防撞报警器设计,LCD(Liquid Crystal Display)显示等功能,既应用了本科阶段所学的电路基础知识、自动控制理论知识,又充分利用了Freescale单片机的高性能与可靠性。 1.1.1速度闭环控制系统 随着工业自动化以及电子信息技术和自动控制技术的不断发展,电机的种类不断增加,性能也更加出色。以电机为动力的车辆的自动化程度也越来越高,对车辆自动化程度的要求也越来越高,电车近几十年来发展十分迅速,直流电机电瓶车的速度控制水平也得到了极大的提高。转速控制作为电机控制中最关键的部分,具体反映到电车就是在车体速度控制上,而速度闭环控制作为重要的控制方式,得到了最广泛的应用。 直流电机速度闭环控制系统包括以下内容: (1)直流电机在接到起动电压后起动; (2)转速达到预设速度后,利用PWM脉宽调制电路产生方波,并通过单片机设定占空比,达到无级调速; (3)采用直流电机反接制动原理来调速,在增量PID控制算法下达到稳定转速的效果。 1

哈尔滨工程大学本科生毕业论文 速度闭环控制系统硬件组成: (1)PWM脉宽调制电路 (2)测速装置(电压输出型光电码盘) (3)动力装置(直流电机) (4)直流电机驱动器 1.1.2超声波倒车防撞系统 改革开放以后,我国经济快速发展,汽车的拥有量大大增加,一些大中型城市交通拥挤,导致交通事故频发。安全驾驶逐渐成为大家关注的焦点,倒车防撞系统的需求非常迫切,因此对其进行设计生产显得非常重要。此倒车防撞系统利用了超声波的特点和优点,将超声波测距和飞思卡尔单片机结合为一体,设计出一种基于MC9S12DG128B单片机的超声波倒车防撞报警系统。 1、超声波测距模块 在本系统中,超声波模块的主要任务是:通过单片机产生40KHz的脉冲,来激发发射探头发出超声波,接收探头接收到超声波后反馈给单片机一段脉冲。单片机定时器记录发射跟接收的40KHz脉冲的时间,算出时间间隔,然后通过编程算法计算出距离。 2、防撞报警系统 本系统采用LED发光二极管作为报警器。在车体逐渐逼近障碍物的过程中,通过编程使单片机引脚产生一定频率的脉冲,驱动发光二极管。当倒车时候,如果逼近障碍物,则发光二极管闪烁频率会加快,进而判定有障碍物,达到防撞报警的作用。 1.1.3LCD液晶显示系统 在日常生活中,我们对液晶显示器并不陌生。液晶显示模块已作为很多电子产品的最大辅助功能,如在计算器、万用表、电子表及很多家用电子产品中都可以看到,显示的主要是数字、专用符号和图形。在单片机的人机交 2

单片机(飞思卡尔)课程设计

课程设计报告 课程设计名称: 系: 学生姓名: 班级: 学号: 成绩: 指导教师: 开课时间:学年学期

目录 第一章系统概要 (1) 1.1 系统背景 (1) 第二章系统硬件设计 (2) 2.1 系统原理图 (2) 2.2 单片机(MCU)模块 (3) 2.2.1 MC9S08AW60单片机性能概述 (3) 2.2.2 内部结构简图 (3) 2.3 串行通信模块 (4) 2.3.1 MAX232引脚图 (4) 2.3.2 串行通信的电路原理 (5) 2.4 液晶显示模块 (6) 第三章系统软件设计 (8) 3.1 MCU方(C)程序 (8) 3.1.1串行通信子程序 (14) 3.1.2 LCD子程序 (18) 第四章系统测试 (21) 第五章总结展望 (24) 5.1 总结 (24) 5.2 展望 (24) 参考文献 (24)

第一章系统概要 1.1 系统背景 单片机(MCU)的基本定义是:在一块芯片上集成了中央处理器(CPU)、存储器(RAM/ROM等)、定时器/计数器及多种输入输出(I/O)接口的比较完整的数字处理系统。单片机自1976年由Intel公司推出MCS-48开始,迄今已有二十多年了。由于单片机集成度高、功能强、可靠性高、体积小、功耗地、使用方便、价格低廉等一系列优点,目前已经渗入到人们工作和生活的方方面面,几乎“无处不在,无所不为”。单片机的应用领域已从面向工业控制、通讯、交通、智能仪表等迅速发展到家用消费产品、办公自动化、汽车电子、PC机外围以及网络通讯等广大领域。 Freescale的S08系列8位MCU由于稳定性高、开发周期短、成本低、型号多样、兼容性好被广泛应用。HC08是Freescale的08系列之一S08表示增强型HC08,它是在HC08基础上发展起来的,兼容HC08系列。S08是2004年左右推出8位MCU,资源丰富,功耗低,性价比很高,是08系列MCU发展趋势,其性能与许多16位MCU相当。MC9S08AW60是低成本、高性能8位微处理器S08家族中的成员,本次课程设计就是以该芯片为基础,来进行嵌入式的设计。 1.2 系统功能 当按下启动键,电子时钟从当前设定值开始走时。按秒刷新,要求在LCD 屏上显示。若按启动键,则时间暂停,再按,时间继续按秒刷新。 时间格式是”时:分:秒”(00:00:00)。通过向通用I/O端口的引脚输入高或低(1或0)电平,作为启动键,对电子钟进行控制——电子钟开始运行、暂停和继续运行。显示数据时,先把要显示的数据送到数据寄存器中,再通过发送寄存器将数据输入要LCD中显示。

飞思卡尔16位单片机9S12XS128使用和程序

飞思卡尔16位单片机9S12XS128使用收藏 最近做一个关于飞思卡尔16位单片机9S12XS128MAA的项目,以前未做过单片机,故做此项目颇有些感触。现记录下这个艰辛历程。 以前一直是做软件方面的工作,很少接触硬件,感觉搞硬件的人很高深,现在接触了点硬件发现,与其说使用java,C#等语言写程序是搭积木,不如说搞硬件芯片搭接的更像是在搭积木(因为芯片是实实在在拿在手里的东西,而代码不是滴。还有搞芯片内部电路的不在此列,这个我暂时还不熟悉)。目前我们在做的这个模块,就是使用现有的很多芯片,然后根据其引脚定义,搭接出我们需要的功能PCB板,然后为其写程序。 废话不多说,进入正题。 单片机简介: 9S12XS128MAA单片机是16位的单片机80个引脚,CPU是CPU12X,内部RAM 8KB,EEPROM:2KB,FLASH:128KB,外部晶振16M,通过内部PLL可得40M总线时钟。 9S12XS128MAA单片机拥有:CAN:1个,SCI:2个,SPI:1个,TIM:8个,PIT:4个,A/D:8个,PWM:8个 下面介绍下我们项目用到的几个模块给出初始化代码 1、时钟模块初始化 单片机利用外部16M晶振,通过锁相环电路产生40M的总线时钟(9S12XS128系列标准为40M),初始化代码如下: view plaincopy to clipboardprint? /******************系统时钟初始化****************/ void Init_System_Clock() { asm { // 这里采用汇编代码来产生40M的总线 LDAB #3 STAB REFDV LDAB #4 STAB SYNR BRCLR CRGFLG,#$08,*//本句话含义为等待频率稳定然后执行下一条汇编语句,选择此频率作为总线频率 BSET CLKSEL,#$80 } }

飞思卡尔单片机 ECT学习资料

9S12 系列单片机的ECT (Enhanced Capture Timer Module)模块是在原 68HC12 的 Standard Timer module 基础上加以增强功能形成的。 ECT 模块主要由以下几部分组成,参看图1: ?一个带可编程预分频的16位向上计数的自由运行计数器 ?8个独立的定时器通道,每个通道具备输入捕捉/输出比较功能 ?4个8位脉冲累加器,也可设置成2个16位脉冲累加器 ?一个带可编程预分频的16位的向下计数的计数器 ? 从上面示意图中可以就看出,ECT 模块相当的复杂,不是简单的几句话就能说明白的。我也是通过很长时间的学习实践,才逐步掌握了ECT模块的使用。 本文将通过一系列的实例,从最简单的功能开始,逐步展开。一步一步的展示ECT 模块的强大功能。 实验1:自由运行计数器(TCNT)与溢出中断

自由运行计数器(TCNT)也称为自由运行主定时器,是一个16位的计数器,可以说是ECT的核心。在系统复位时,这个自由运行计数器的初值为$0000。当ECT 模块运行时,自由运行计数器从$0000~$FFFF 循环递增计数。当计数器溢出复零时,会置位中断标志。利用这个计数器,可以产生一个周期的中断信号。 TCNT 的输入时钟也是可以选择的,图2 给出了TCNT 的时钟源的示意图。可以看出,TCNT的输入时钟可以来源于总线时钟、总线时钟经过预分频、外部引脚输入的脉冲、外部引脚输入脉冲经过脉冲累加器分频这四种选择。当然,选择哪个时钟源其实就是在程序中设置一下相应的寄存器这么简单。 了解了上面的介绍,就可以开始本文的第一个例子了,这个例子非常简单,将BUS CLOCK 分频后作为TCNT 的输入时钟,使能TCNT 溢出中断。 在开始代码之前,还需要介绍几个程序中用到的寄存器。 TCNT寄存器(Timer Count Register) 这个寄存器其实已经介绍过了,它是一个16 位的只读寄存器。在每个时钟输入下计数值会自动加1,当计数值 为0xFFFF 后下一个时钟脉冲会使计数器溢出为0x0000。程序中可以随时读取TCNT 的值,唯一需要注意的 是TCNT 是个16位的寄存器,读取时要一次将其读出,如果分为高低两个字节读取,读到的数据不一定能拼接成一个有效的计数值。

飞思卡尔9S12XS128 单片机教程

9S12XS128 单片机开发工具包 清华Freescale MCU/DSP 应用开发研究中心 9S12XS128单片机开发工具包 (1) 概述 (3) 9S12XS128单片机 (3) 9S12XS128开发工具包组件 (3) 9S12XS128开发板及与PC 通信 (4) 9S12XS128 开发板 (4) 开发板的硬件连接 (5) PC机的设置 (6) 监控程序及监控命令详解 (8) 命令详解 (8) 复位、中断向量表 (12) 用户可以使用的RAM空间 (12) 编译器CodeWarrior for HCS12 使用方法入门 (13) 建立工程文件 (13) 编写main.c 程序 (15) 定义存储空间分配 (17) 应用程序的编译 (18) 向开发板下载程序 (20) 运行应用程序 (21)

概述 这里描述的是一套9S12XS128 系列单片机开发系统套件。以后的更新的版本见清华Freescale单片机应用开发研究中心的网站:https://www.wendangku.net/doc/6510320680.html,。 开发系统主要由两个部分组成,分别是调试下载用的TBDML和开发用目标板。其中TBDML的使用请参见文档“BDM for S12(TTBDM)用户手册V 34.pdf”。 目标板是有异步串行口的驱动的基本系统。针对9S12XS128 芯片我们编写了 9S12XS128目标板监控程序,可以方便地完成应用系统的开发。用户可以在此基础上设计自己所需的目标母板,完成项目的初期开发。应用软件完成后,用开发工具板擦除监控程序,下载最终的应用程序。 9S12XS128 单片机 S12XS 16 位微控制器系列针对一系列成本敏感型汽车车身电子应用进行了优化。 S12X 产品满足了用户对设计灵活性和平台兼容性的需求,并在一系列汽车电子平台上实 现了可升级性、硬件和软件可重用性、以及兼容性。 S12XS 系列可以经济而又兼容地扩展至带XGate 协处理器的S12XE 系列单片机,从 而为用户削减了成本,并缩小了封装尺寸。S12XS系列帮助设计者迅速抓住市场机遇,同时还能降低移植成本。 主要特性: S12X CPU,最高总线速度40MHz 64KB、128KB 和256KB 闪存选项,均带有错误校正功能(ECC) 带有ECC 的、4KB 至8KB DataFlash,用于实现数据或程序存储 可配置8- 、10- 或12- 位模数转换器(ADC),转换时间3μs 支持控制区域网(CAN)、本地互联网(LIN)和串行外设接口(SPI)协议模块 带有16-位计数器的、8-通道定时器 出色的EMC,及运行和停止省电模式 9S12XS128 开发工具包组件 9S12XS128开发工具包硬件系统包括以下组件: (1) 驻留监控程序的9S12XS128开发板一块; (2) 带USB 接口的TBDML调试器一个,简称BDM头; (3) BDM 6芯扁带电缆一根; (4)USB电缆一根; (5) RS-232 串口通信线一根; (6) 220V AC~DC +5V电源一个; (7) CD 光盘一张,含CodeWarrior V4.7,可下载的S12X监控程序.S19。 9S12XS128开发板及与PC通信 9S12XS128 开发板 9S12XS128开发板如图1 所示: 图1 PC9S12XS128 开发板 板上有构成最小系统必要的复位电路、晶体振荡器及时钟电路,串行接口的RS-232 驱 动电路,+5V电源插座。单片机中已经写入了开发的监控程序。单片机的大部分I/O端口都通过两个64芯的欧式插头引出。这两个插头在PCB上的距离为190mil,如图2所示。表1、表2给出了开发板上P1与P2 插座的引脚定义。用户可以根据图2和表1的定义设计自己的应用系统,即目标母板,然后将开发板插在目标母板上调试。

相关文档