文档库 最新最全的文档下载
当前位置:文档库 › 工业常用的英文缩写

工业常用的英文缩写

工业常用的英文缩写
工业常用的英文缩写

工业常用的英文缩写 品质人员名称类

QC quality control 品质管理人员

FQC final quality control 终点质量管理人员

TQC total quality control POC passage quality

control 段检人员

QA quality assurance 质量保证人员

OQA output quality assurance 出货质量保证人员

QE quality engineering 品质工程人员 品质保证类

FAI first article inspection 新品首件检查

FAA first article assurance 首件确认

CP capability index 能力指数

SSQA standardized supplier quality audit 合格供货商品质评估

FMEA failure model effectiveness analysis 失效模式分析

FQC 运作类

AQL Acceptable Quality Level 运作类允收品质水准

S/S Sample size 抽样检验样本大小

ACC Accept 允收

REE Reject 拒收

CR Critical 极严重的

MAJ Major 主要的

MIN Minor 轻微的

Q/R/S Quality/Reliability/Service 品质/ 可靠度 / 服务

P/N Part Number 料号

L/N Lot Number 批号

AOD Accept On Deviation 特采

UAI Use As It 特采

FPIR First Piece Inspection Report 首件检查报告

PPM Percent Per Million 百万分之一

制程统计品管专类

SPC Statistical Process Control 统计制程管制

SQC Statistical Quality Control 统计质量管理

GRR Gauge Reproductiveness & Repeatability 量具之再制性及重测性判断量 可靠与否

DIM Dimension 尺寸

DIA Diameter 直径

N Number 样品数

其它品质术语类

QIT Quality Improvement Team 品质改善小组

ZD Zero Defect 零缺点

IPQC in process quality control OQC output quality control IQC incoming quality control 制程中的质量管理人

员 最终出货质量管理人员

进料质量管理人员

全面质量管理

QI Quality Improvement 品质改善

QP Quality Policy 目标方针

TQM Total Quality Management 全面品质管理

RMA Return Material Audit 退料认可 7QCTools 7 Quality Control Tools 品管七大手法

通用之件类

ECN Engineering Change Notice 工程变更通知(供货商) ECO Engineering Change Order 工程改动要求(客户) PCN Process Change Notice 工序改动通知 PMP Product Management Plan 生产管制计划 SIP Standard Inspection Procedure 制程检验标准程序 ? SOP Standard Operation Procedure 制造作业规范 IS Inspection Specification 成品检验规范 BOM Bill Of Material 物料清单 PS Package Specification 包装规范SPEC Specification 规格

DWG Drawi ng 图面

系统文件类

ES Engineering Standard 工程标准

IWS International Workman Standard 工艺标准

ISO International Standard Organization 国际标准化组织

GS General Specification 一般规格部类

PMC Production & Material Control 生产和物料控制 PCC Product control center 生产管制中心

PPC Production Plan Control 生产计划控制

MC Material Control 物料控制

DC Document Center 资料中心

QE Quality Engineering 品质工程(部)

QA Quality Assurance 品质保证(处)

QC Quality Control 质量管理(课)

PD Product Department 生产部

LAB Laboratory 实验室

IE Industrial Engineering 工业工程

R&D Research & Design 设计开发部生产类

PCs Pieces 个(根,块等)

PRS Pairs 双(对等)

CTN Carton 卡通箱

PAL Pallet/skid 栈板

PO Purchasing Order 采购订单

MO Manufacture Order 生产单

D/C Date Code 生产日期码

ID/C Identification Code (供货商)识别码

SWR Special Work Request 特殊工作需求

L/N Lot Number 批号

P/N Part Number 料号

OEM Original Equipment Manufacture 原设备制造商

ODM Original Design Manufacture 原始设计制造商

PC Personal Computer 个人计算机

CPU Central Processing Unit 中央处理器

A.S.A.P As Soon As Possible 尽可能快的 E-MAIL Electrical-Mail 电子邮件N/A Not Applicable 不适用

QTY Quantity 数量

I/O input/output 输入/ 输出

NG Not Good 不行 , 不合格

C=0 Critical=0 极严重不允许

APP Approve 核准,认可, 承认

CHK Check 确认

ASS'Y Assembly 装配, 组装

T/P True Position 真位度

5WIH When, Where, Who, What, Why, How to 什么时候,什么地方,谁,社么事,为什么,什么方式

6M Man, Machine, Material, Method, Measurement, Message 人力,机台,物力,方法,规格,信息

4MTHMan, Material, Money, Method, Time, How 人力,物力,财务, 技术, 时间(资源)

SQA Strategy Quality Assurance 策略品质保证

DQA Design Quality Assurance 设计品质保证

MQA Manufacture Quality Assurance 制造品质保证

SSQA Sales and service Quality Assurance 销售及服务品质保证

LRR Lot Reject Rate 批退率

DVD Digital Video Disk 数字化视频光盘

VCD Video Compact Disk 视频高密光盘

LCD Liquid Crystal Display 液晶显示器

CAD Computer Aided Design 计算机辅助设计

CAM Computer Aided Manufacturing 计算机辅助制造

CAE Computer Aided Engineering 计算机辅助工程

PCB Printed Circuit Board 印刷电路板

CAR Correction Action Report 改善报告

NG Not Good 不良

WDR Weekly Delivery Requirement 周出货要求

PPM Percent Per Million 百万分之一

TPM Total Production Maintenance 全面生产保养

MRP Material Requirement Planning 物料需计划

OS Operation System 操作系统

TBA To Be Assured 待定, 定缺

D/C Drawing Change 图面切换

P/P Plans & Procedure 计划程序

EMI Electrical Magnetic Interference 电子干扰

RFI Read Frequency Input 读频输入

LED lighting-emitting diode 发光二极管

CIP Continuous improvement process 连续改进过程

FGI Forecasted Goal Inventory 预见目标记录

CNC Computerized numeral controller 数控管理

B2C Business to customer 企业对客户

B2B Business to business 企业对企业

AVL Approved vendor list 许可商清单

POP Procedure of packaging 包装规范

EOL End of life 寿命中止

VDCS Vender defect correcting sheet 供应商缺点改进PDCS Process defect correcting sheet 加工过程缺点改进GRN Goods receiving note 接收货物记录

A/R Accounting receivable 可接受帐目

A/P Accounting payable 应付帐目

PCB工程资料中常见的英文缩写汇总

工程圖檔資料中常見的英文縮寫匯總 ?AOI : Automatic Optical Inspection 自動光學檢測 ?SMD : Surface Mount Devices 表面安裝設備 ?SMB : Surface Mount Board 表面安裝板 ?SMT : Surface Mount Technology 表面安裝技術 ?MIL : Military Standard 美國軍用標准 ?LPI : Liquid Photo Imageable Solder Mask 液態感光阻焊油 ?SMOBC : Solder Mask On Bare Copper 裸銅覆蓋阻焊工藝 ?OSP : Organic Solderability Preservative 焊錫性有機保護劑 ?PTI : Proof Tracking Index 耐電壓起痕指數 ?CTI : Comparative Tracking Index 相對漏電起痕指數 ?HASL : Hot Air Solder Leveling 噴錫HAL : Hot Air Leveling 噴錫?PCB : Printed Circuit Board 印制電路板 ?PWB : Printed Wiring Board 印制線路板 ?CCL : Copper-clud laminat 覆銅箔層壓板 ?FPC: Flexible printed board 柔性线路板简称,又称软板 ?CAD : Computer Aided Design 計算機輔助設計 ?CAM : Computer Aided Manufacturing 計算機輔助制造 ?CAT : Computer Aided Testing 計算機輔助測試 ?PTH : Plated Through Hole 鍍通孔 ?IC : Integrated Circuit 集成線路 ?UL : Under Writers Laboratories 美國保險商實驗室 ?CNS : Chinese National Standards 中國國家標准 ?BGA : Ball Grid Array 球柵陣列 ?BUM : Build-up Multilayer 積層法多層板 ?CFR : Code of Federal Regularations 聯邦法規全書 ?AQL : Acceptable Quality Level 允收品質水准 ?LDI : Laser Direct Imaging 鐳射直接成像 ?HDI : High Density Interconnection –-Build-up Multilayer 高密度互連積層多層板

企业常用缩写-中英文对照

企業常用縮寫 5S : 5S管理 ABC : 作業制成本制度(Activity-Based Costing) ABB : 實施作業制預算制度(Activity-Based Budgeting) ABM : 作業制成本管理(Activity-Base Management) APS : 先進規畫與排程系統(Advanced Planning and Scheduling) ASP : 應用程式服務供應商(Application Service Provider) ATP : 可承諾量(Available To Promise) AVL : 認可的供應商清單(Approved Vendor List) BOM : 物料清單(Bill Of Material) BPR : 企業流程再造(Business Process Reengineering) BSC : 平衡記分卡(Balanced ScoreCard) BTF : 計劃生產(Build To Forecast) BTO : 訂單生產(Build To Order) CPM : 要徑法(Critical Path Method) CPM : 每一百萬個使用者會有幾次抱怨(Complaint per Million) CRM : 客戶關係管理(Customer Relationship Management) CRP : 產能需求規劃(Capacity Requirements Planning) CTO : 客製化生產(Configuration To Order) DBR : 限制驅導式排程法(Drum-Buffer-Rope) DMT : 成熟度驗證(Design Maturing Testing) DVT : 設計驗證(Design Verification Testing) DRP : 運銷資源計劃(Distribution Resource Planning) DSS : 決策支援系統(Decision Support System) EC : 設計變更/工程變更(Engineer Change) EC : 電子商務(Electronic Commerce) ECRN : 原件規格更改通知(Engineer Change Request Notice) EDI : 電子資料交換(Electronic Data Interchange) EIS : 主管決策系統(Executive Information System) EMC : 電磁相容(Electric Magnetic Capability) EOQ : 基本經濟訂購量(Economic Order Quantity) ERP: 企業資源規劃(Enterprise Resource Planning) FAE: 應用工程師(Field Application Engineer) FCST : 預估(Forecast) FMS : 彈性製造系統(Flexible Manufacture System) FQC : 成品品質管制(Finish or Final Quality Control) IPQC : 製程品質管制(In-Process Quality Control) IQC : 進料品質管制(Incoming Quality Control) ISO : 國際標準組織(International Organization for Standardization)

化学分析英文缩写列表

化学分析英文缩写列表 A AAS 原子吸收光谱法 AES 原子发射光谱法 AFS 原子荧光光谱法 ASV 阳极溶出伏安法 ATR 衰减全反射法 AUES 俄歇电子能谱法 C CEP 毛细管电泳法 CGC 毛细管气相色谱法 CIMS 化学电离质谱法 CIP 毛细管等速电泳法 CLC 毛细管液相色谱法 CSFC 毛细管超临界流体色谱法CSFE 毛细管超临界流体萃取法CSV 阴极溶出伏安法 CZEP 毛细管区带电泳法 D DDTA 导数差热分析法 DIA 注入量焓测定法 DPASV 差示脉冲阳极溶出伏安法DPCSV 差示脉冲阴极溶出伏安法DPP 差示脉冲极谱法 DPSV 差示脉冲溶出伏安法 DPV A 差示脉冲伏安法 DSC 差示扫描量热法 DTA 差热分析法 DTG 差热重量分析法 E EAAS 电热或石墨炉原子吸收光谱法ETA 酶免疫测定法 EIMS 电子碰撞质谱法 ELISA 酶标记免疫吸附测定法EMAP 电子显微放射自显影法EMIT 酶发大免疫测定法 EPMA 电子探针X射线微量分析法ESCA 化学分析用电子能谱学法ESP 萃取分光光度法 F FAAS 火焰原子吸收光谱法FABMS 快速原子轰击质谱法 FAES 火焰原子发射光谱法

FDMS 场解析质谱法 FIA 流动注射分析法 FIMS 场电离质谱法 FNAA 快中心活化分析法 FT-IR 傅里叶变换红外光谱法 FT-NMR 傅里叶变换核磁共振谱法 FT-MS 傅里叶变换质谱法 GC 气相色谱法 GC-IR 气相色谱-红外光谱法 GC-MS 气相色谱-质谱法 GD-AAS 辉光放电原子吸收光谱法 GD-AES 辉光放电原子发射光谱法 GD-MS 辉光放电质谱法 GFC 凝胶过滤色谱法 GLC 气相色谱法 GLC-MS 气相色谱-质谱法 H HAAS 氢化物发生原子吸收光谱法HAES 氢化物发生原子发射光谱法HPLC 高效液相色谱法 HPTLC 高效薄层色谱法 I IBSCA 离子束光谱化学分析法 IC 离子色谱法 ICP 电感耦合等离子体 ICP-AAS 电感耦合等离子体原子吸收光谱法ICP-AES 电感耦合等离子体原子发射光谱法ICP-MS 电感耦合等离子体质谱法 IDA 同位素稀释分析法 IDMS 同位素稀释质谱法 IEC 离子交换色谱法 INAA 仪器中子活化分析法 IPC 离子对色谱法 IR 红外光谱法 ISE 离子选择电极法 ISFET 离子选择场效应晶体管 L LAMMA 激光微探针质谱分析法 LC 液相色谱法 LC-MS 液相色谱-质谱法 M MECC 胶束动电毛细管色谱法 MEKC 胶束动电色谱法 MIP-AAS 微波感应等离子体原子吸收光谱法

常用汽车行业英文缩写及词汇

常用汽车行业英文缩写及词汇 Passenger V ehicle 乘用车 常用汽车行业英文缩写及词汇 1PP- First Phase of Production Prove-Out 第一次试生产 3C Customer(顾客导向)、Competition(竞争导向)、Competence(专长导向) 4S Sale, Sparepart零配件, Service, Survey信息反馈 5S 整理,整顿,清理,清洁,素养 8D- 8 Discipline ABS Anti-lock Braking System AIAG 美国汽车联合会 ANPQP Alliance New Product Quality Procedure Apportionment 分配 APQP Advanced Product Quality Plan Backlite Windshield 后窗玻璃 Benchmark Data 样件资料 bloodshot adj.充血的, 有血丝的 BMW Bavarian Motor Works C.P.M Certified Purchasing manger 认证采购经理人制度 CB- Confirmation Build 确认样车制造 CC- Change CutOff 设计变更冻结 CC\SC- critical/significant characteristic CCR Concern & Countermeasure Request CCT Cross Company Team Characteristics Matrix 特性矩阵图 COD Cash on Delivery 货到付现预付货款(T/T in advance) CP1- Confirmation Prototype 1st 第一次确认样车 CP2- Confirmation Prototype 2nd 第二次确认样车 Cpk 过程能力指数Cpk=Zmin/3 CPO Complementary Parts Order Craftsmanship 精致工艺 Cross-functional teams跨功能小组 CUV Car-Based Ultility Vehicle D1:信息收集;8D D2:建立8D小组; D3:制定临时的围堵行动措施,避免不良品流出; D4:定义和证实根本原因,避免再发; D5:根据基本原因制定永久措施; D6:执行和确认永久措施; D7:预防再发,实施永久措施;

常用化学试剂英文缩写列表(配化学式)

ABO 2,7,8-Trioxabicyclo[3.2.1]octyl C ?O O O Ac Acetyl ?C O ACBZ 4-Azidobenzyloxycarbonyl ?C O O N N + - N ACE α-Chloroethoxycarbonyl AcHmb 2-Acetoxy-4-methoxybenzyl CH 2?O O O Acm Acetamidomethyl ?H2C O N H Ad 1-Adamantyl C ? Adoc 1-Adamantyloxycarbonyl C ? O O Adpoc 1-(1-Adamantyl)-1-methylethoxycarbonyl C ? O O AIBN 2,2’-Azobis(2-methylpropionitrile) or Azobisisobutyronitrile N N N N All Allyl CH 2? Alloc Alloxycarbonyl ?C O O Als Allylsulfonyl S ? O O AMB 2-(Acetoxymethyl)benzoyl C ? O O O AN 4-Methoxyphenyl or anisyl CH ? O Anpe 2-(4-Acetyl-2-nitrophenyl)ethyl ?H2C N +O O -O AOC Allyloxycarbonyl ?C O O p-AOM p-Anisyloxymrthyl or (4-methoxyphenoxy)methyl ?H2C O O Ar Aryl 芳香基 ATP Adenosine 5’-triphosphate 三磷酸腺苷 ATPase Adenosinetriphosphatase ATP 酶 Azb p-Azidobenzyl CH 2? N N + -N Bam Benzamidomethyl ?H2C O NH

医院各个科室里常用的英文缩写的分类对照表

医院各个科室里常用的英文缩写的分类对照 medical department/departmentof internal medicine:内科 surgical deparment;department of surgery:外科 pediatricsdepartment: 小儿科 obstetricsand gynecology department: 妇产科 ophtalmology department:眼科 dental department:牙科 ENT(ear-nose-throat)department: 耳鼻喉科 urologydepartment:泌尿科 dermatologydepartment; skin department:皮肤科 department of general surgery普通外科 orthopedic surgery department:矫形外科 traumatology department:创伤外科 plastic surgery: 整形外科 anesthesiologydepartment: 麻醉科 pathologydepartment:病理科 cardiology department:心脏病科 psychiatry department:精神病科 orthopedics department: 骨科 departmentof cardiac surgery:心脏外科 department of cerebral surgery: 胸外科 neurology department: 神经科 neurosurgery department: 神经外科 thoracicsurgery department:脑外科 department of anus &intestine surgery 肛肠外科 department of hepatobiliary surgery 肝胆外科 department of traditionalChinese medicine:中医科 departmentofinfectiousdiseases 传染病科 geriatrics department: 老人病专科 hematology department: 血液科 department ofrheumatism风湿科 department of endocrinology 内分泌科 departmentof plasticsurgery 医学整形科 hepatologydepartment: 肝病专科 nephrologydepartment:肾脏科 department ofvenereology 性病专科 departmentof physiotherapy理疗科 electrotherapy room 电疗科 heliotherapy room 光疗科 wax-therapyroom蜡疗科

数控机床中英文对照表

数控机床中英文对照表 ABS和REL——在法那克系统中,按这两个键分别来切换当前机床的相对坐标和绝对坐标 ALL——全选,程序编辑时用 PRGRM——程序(program)的缩写,用于查看程序 NEXT——查看程序和查看参数时,下一页 OPRT——在法那克系统中,选择编辑程序时,也就是按下PRGRM后,再按这个键,才能输入程序号 HOST,CONECT——这两个是在系统与外界通信时用的,我没试过,不知道什么作用 HELP——查看帮助信息 SHIFT——换档键,有些键有两个字符,按下这个键,用来输入顶部那个字符ALTER——程序修改键,编辑程序时用 INSERT——程序插入键,编辑程序时用 EOB——程序结束符,在编辑程序时,没段程序结束时,要加这个符号CAN——取消键,用来取消输入 INPUT——输入键,用来输入程序或参数 POS——按此键显示当前机床位置画面 PROS——按此键显示当前程序画面 OFFSET——按此键显示刀具偏置画面 SETTING——按此键显示刀具偏置设定画面 SYSTEM——按此键显示系统信息及系统状态画面 GRAPH——按此键显示加工时刀具轨迹的图形画面 CUSTOM——按此键显示用户宏程序画面 手动数据输入(MDI) 直接数字控制方法(DNC) 控制器单元或机控制器单元(MCU) 法拉克: ALTER 修改程序及代码 INSRT 插入程序 DELET 删除程序 EOB 完成一句(END OF BLOCK) CAN 取消(EDIT 或MDI MODE 情况下使用) INPUT 输入程序及代码 OUTPUT START 输出程序及指令 OFFSET 储存刀具长度、半径补当值 AUX GRAPH 显示图形 PRGRM 显示程序内容 ALARM 显示发生警报内容或代码 POS 显示坐标 DGONS PARAM 显示自我诊断及参数功能 RESET 返回停止 CURSOR 光标上下移动 PAGE 上下翻页

分析仪器相关英文简称

. 分析测试仪器相关英文简称 紫外:UV 原吸:AAS 高效液相色谱:HPLC 气相色谱:GC 薄层色谱:TLC 离子色谱:IC 原子荧光:AFS 电感耦合等离子体扫描光谱仪:ICP 质谱:MS 红外光谱:IR;傅立叶红外光谱:FT-IR; 核磁共振:NMR 近红外:NIR 示差扫描量热仪:DSC 动态热机械分析仪:DTMA X射线荧光光谱仪:XRF 透射电子显微镜:TEM 扫描电子显微镜:SEM 场电子显微镜:FEM 场离子显微镜:FIM 低能电子衍射EED

. 光电子能谱:ESCA 扫描隧道显微镜:STM 原子力显微镜:AFM 横向力显微镜FM 扫描探针显微镜:SPM BOD:生化耗氧量 COD:化学耗氧量 TOC:总有机碳 TIC:总无机碳 AOX:可吸收卤化物

仪器中文名称仪器英文名称英文缩写 原子发射光谱仪Atomic Emission Spectrometer AES 电感偶合等离子体发射光谱仪Inductive Coupled Plasma Emission SpectrometerICP 直流等离子体发射光谱仪Direct Current Plasma Emission Spectrometer DCP 紫外-可见光分光光度计UV-Visible Spectrophotometer UV-Vis 微波等离子体光谱仪Microwave Inductive Plasma Emission SpectrometerMIP 原子吸收光谱仪Atomic Absorption Spectroscopy AAS 原子荧光光谱仪Atomic Fluorescence Spectroscopy AFS 傅里叶变换红外光谱仪FT-IR Spectrometer FTIR 傅里叶变换拉曼光谱仪FT-Raman Spectrometer FTIR-Raman 气相色谱仪Gas Chromatograph GC 高压/效液相色谱仪High Pressure/Performance Liquid ChromatographyHPLC 离子色谱仪Ion Chromatograph IC 凝胶渗透色谱仪Gel Permeation Chromatograph GPC 体积排阻色谱Size Exclusion Chromatograph SEC X射线荧光光谱仪X-Ray Fluorescence Spectrometer XRF X射线衍射仪X-Ray Diffractomer XRD 同位素X荧光光谱仪Isotope X-Ray Fluorescence Spectrometer

船舶常用的英文缩写

第一部分 1A/B Above Base Line基准线以上2A/C Anticorrosive Paint防腐涂料3A/F Antifouling Paint防污漆4ABS American Bureau of Shipping美国船级社 5Abt Abt(About)大约,关于6ACCOM.Accommodation船室,居住区7ACCM.L Accommodation Ladder舷梯8ACCU Automatic control system certified for unattended eng.Room无人机舱自动控制系统鉴定9AFRAMAX Average Freight Rate Assessment at the max.of Deadweight C.O.T最大负载时平均运费率评估10 A.P Bhd After Peak Bulkhead船尖舱舱壁11ANSI American National Standards Institute美国国家标准协会12AP After Perpendicular艉垂线 13API American Petroleum Institute美国石油组织14APT After Peak Tank尾尖舱15ARPA Automatic Rader Plotting Aids自动雷达测图仪16ASTM American Society of Testing Materials美国材料实验协会17B mld Moulded Breadth型宽18B/C Bulk Carrier散货船19 B.L Base line基线20Basic Design基本设计21Ballast Control Room压载控制室22BHP Brake Horse Power制动马力23BOG Boil-off Gas蒸发气体24BOM Bill of Material材料清单25Bkt Bracket支架,肘板26BHD Bulkhead隔壁,防水壁27C/H Cargo Hold货舱28 C.T Cable Trunk电缆管道29CCI Class Comment Item船级社说明项目30CCR Cargo Control 31C,C/L Center Line中心线32 C.G Center of Gravity重心33Cert.Certificate证书

常用医学英文缩写对照表

常用医学英文缩写对照表 AB ACEI ACT AG AMI ANA ARDS ASO ATP AVNRT AVRT BB BEE BT BuN C3 CBC CCU CHE CK CPAP CPR CT CVP DBP DCT DIC DM EF ENT FDP FUO GNS Hb HCO3- HCT HIV Holter IABP IHSS 实际碳酸氢盐 血管紧张素转换酶抑制剂 激活凝血时间 离子间隙 急性心梗 抗核抗体 急性呼吸窘迫综合征 抗链球菌溶血素“0” 三磷酸腺苷 房室结折返性心动过速 房室折返性心动过速 缓冲碱 基础能量消耗 出血时间 尿素氮 补体C3 血常规 心血管监护室 胆碱酯酶 肌酸磷酸激酶 持续正压通气 心肺复苏 凝血时间 中心静脉压 舒张压 双氢克尿噻 弥散性血管内凝血 舒张期杂音 射血分数 耳鼻喉科(五官科) 纤维蛋白原降解产物 不明原因发热 葡萄糖生理氯化钠溶液 血红蛋白 碳酸氢根 红细胞比容 人类免疫缺陷病毒 24h动态心电图 主动脉内气囊反搏术 特发性肥厚型主动脉瓣下 NTG OB P(A-a)O2 P2 PaCO2 PAMPA PaO2 PCAP PCWP PEEP pH PPD Prn PT qh qid qn qod RF RI RR S3 S4 SaO2 SB TPN SBE SBP SGOT SGPT SK SM T3 T4 TAT TIL tid t-PA TPN TSH 硝酸甘油 隐油 肺泡气-动脉血氧分压差 肺动脉第二心音 动脉二氧化碳分压 氨甲苯酸 动脉氧分压 肺小动脉压 肺毛细血管压 呼气末正压 酸碱度 结核菌素纯蛋白衍生物 必要时 凝血酶原时间 每小时1次 每天4次 每晚1次 隔日1次 类风湿因子 胰岛素 呼吸频率 第3心音 第4心音 血氧饱和度 标准碳酸氢盐 全胃肠外营养 亚急性细菌性心内膜炎 收缩压 血清谷草转氨酶 血清谷丙转氨酶 链激酶 收缩期杂音 三碘甲状原氨酸 甲状腺素 抗蛇毒血清 短暂脑缺血发作 每天3次 组织型纤溶酶原激活物 全肠道外营养 促甲状腺激素

化工设备常用词汇和缩写中英文对照

化工设备常用词汇和缩写中英文对照 缩写/ 英文/中文 AB Anchor Bolt 地脚螺栓 Abs Absolute 绝对的 Abs Abstract 文摘、摘要 A/C Account 帐、帐目 AC Alternating Current 交流电 Add Addendum 补充、补遗、附录 ADL Acceptable Defect Level 允许的缺陷标准 Adpt Adapter 连接器、接头 AE Absolute Error 绝对误差 AET Acoustic Emission Examination 声发射检验 AISC American Institute of Steel Construction 美国钢结构学会 AISI American Iron and Steel Institute 美国钢铁学会 AL Aluminium 铝 Alk Alkaline 碱的、强碱的 ALM Alarm 报警 Alt Alternate 交流、改变 Amb Ambient 周围的 Amt Amount 数量、金额 Anh Anhydrous 无水的 ANSI American National Standard Institute 美国国家标准学会 API American Petroleum Institute 美国石油学会 App Apparatus 设备 App Appendix 附录、补遗 Appl Applied 应用的 Appl Applicable 适当的、合适的 Approx Approximate 大约、近似 Appx Appendix 附录、附件 Arrgt Arrangement 布置 AS Alloy steel 合金钢 Asb Asbestos 石棉 ASL Above Sea Level 海拔高度 ASM American Society for Metals 美国金属学会 ASME American Society of Mechanical Engineers 美国机械工程师学会Assem Assembly 装配

企业常用英文缩写

企业常用英文缩写 5S : 5S管理 ABC : 作业制成本制度 (Activity-Based Costing) ABB : 实施作业制预算制度 (Activity-Based Budgeting) ABM : 作业制成本管理 (Activity-Base Management) APS : 先进规画与排程系统 (Advanced Planning and Scheduling) ASP : 应用程序服务供货商(Application Service Provider) ATP : 可承诺量 (Available To Promise) AVL : 认可的供货商清单(Approved Vendor List) BOM : 物料清单 (Bill Of Material) BPR : 企业流程再造 (Business Process Reengineering) BSC : 平衡记分卡 (Balanced ScoreCard) BTF : 计划生产 (Build To Forecast) BTO : 订单生产 (Build To Order) CPM : 要径法 (Critical Path Method) CPM : 每一百万个使用者会有几次抱怨(Complaint per Million) CRM : 客户关系管理 (Customer Relationship Management) CRP : 产能需求规划 (Capacity Requirements Planning) CTO : 客制化生产 (Configuration To Order) DBR : 限制驱导式排程法 (Drum-Buffer-Rope) DMT : 成熟度验证(Design Maturing Testing) DVT : 设计验证(Design Verification Testing) DRP : 运销资源计划 (Distribution Resource Planning)

常用医学英文缩写对照表

缩写字拉丁文中文 aa. a.c. a.d. a.h. a.j. a.m. a.p. a.u.agit Abs.febr. Ac.;acid. Ad.;add Ad lid Ad us.ext Ad us.int. Alt.die.(a.d.) Amp. https://www.wendangku.net/doc/6a17951270.html,en. Aq. Ana Ante cibos Ante decubitum Alternis horis Ante jentaculum Ante meridiem Ante parndium Ante usum agitetur Absente febri Acidum Ad Ad libitum Ad usum externum Ad usum internum Alternis diebus (alterno die) Ampulla Ante coenam Aqua 各 饭前 睡前 每2小时,隔1小时 早饭前 上午,午前 午饭前 使用前振荡 不发烧时 酸 到、为、加至 随意、任意量 外用 服 隔日 安瓶(瓿) 晚饭前 水

Aq.bull Aq.cal. Ap. Ap.dest. Ap.ferv. Ap.font. Ap.steril. b.i.d. Cap Caps.amyl. Caps.gelat. Caps.dur. Caps.moll. Catapl. c.c c.g. Cit. Collum. Collut. Collyr. Co. Ccen. Aqua bulliens Aqua calida Aqua communis Aqua destillata Aqua fervens Aqua Fontana Aqua sterilisata Bis in die Cape,capiat Capsula amylacea Capsula gelatinosa Capsula dura Capsula mollis Cataplasma Centimetrum cubicum Centigramma Cito Collunarium Collutorium Collyrium Compcitus Coena 开水,沸水 热水 普通水 蒸馏水 热水 泉水 无菌水 1日2次 应服用 淀粉襄 胶襄 硬胶襄 软胶襄 泥济 西西,公撮,立方公分 厘克,百分之一公分 快 洗鼻剂 漱口济 洗眼剂 复方的 晚饭

机床英文缩写

常见数控机床资料英文缩写AC 交流电 AMP 安培 APC 自动托盘交换装置 APL 自动部件装载机 ASCII 美国信息交换标准法规 ATC 自动刀具交换装置 ATC FWD 自动刀具交换装置前进 ATC REV 自动刀具交换装置后退 AWG 美国线规 BHCS 圆头帽螺钉 CAD 计算机辅助设计 CAM 计算机辅助制造(辅助加工) CB 断路开关 CC 立方厘米 CCW 逆时针 CFM 每分钟立方英尺 CNC 计算机数控 CNCR SPINDLE 与轴运动同步的芯轴 CRC 循环冗余码校验数字 CRT 阴极射线管

CTS 清除发送 CW 顺时针 DB 牵引杆 DC 直流电 DGNOS 诊断 DHCP 动态主机配置协议 DIR 目录 DNC 直接数字控制 DOS 磁盘操作系统 DTE 数据终端设备 ENA CNVR 启动输送机 EOB 块结束 EOF 文件结束 EPROM 可擦可编程只读存储器E-STOP 紧急停机 FADAL 其他人 FHCS 平头帽螺钉 FT 英尺 FU 保险丝 FWD 前进 GA 量规

HAAS 正确的刀具 HHB 六角头螺钉 HP 马力 HS 卧式系列加工中心 ID 内径 IGBT 隔离栅双极晶体管 IN 英寸 IOPCB 输入输出印刷电路板LAN 局域网 LB 磅 LED 发光二极管 LO CLNT 冷却剂低压 LOW AIR PR 低气压 LVPS 低电压电源 MB 兆字节(1百万) MCD RLY BRD M-代码继电器盘MDI 手动数据输入 MEM 存储器 M-FIN M-代码完成 MM 毫米 MOCON 电动机控制器

企业管理常用缩写术语中英文对照

企业管理常用缩写术语中英文对照 ? :5S管理 ?6σ:六西格玛管理 A ? : 作业制成本制度(Activity-Based Costing) ? ABB: 实施作业制预算制度(Activity-Based Budgeting) ? : 作业制成本管理(Activity-Base Management) ? APS: () ? : () ? ATP: () ? AVL: 认可的供货商清单(Approved Vendor List) B ? :物料清单(Bill Of Material) ? :企业流程再造(Business Process Reengineering) ? :平衡记分卡(Balanced ScoreCard) ? BTF:计划生产(Build To Forecast) ? BTO:(Build To Order) C ? :要径法(Critical Path Method) ? CPM:每一百万个使用者会有几次抱怨(Complaint per Million) ? :客户关系管理(Customer Relationship Management) ? CRP:(Capacity Requirements Planning) ? CTO:客制化生产(Configuration To Order) D ? :限制驱导式排程法(Drum-Buffer-Rope) ? DMT:成熟度验证(Design Maturing Testing) ? DVT:设计验证(Design Verification Testing) ? :运销资源计划(Distribution Resource Planning) ? DSS:(Decision Support System) E

仪器的中英文名称及缩写

仪器中文名称仪器英文名称英文缩写 原子发射光谱仪Atomic Emission Spectrometer AES 电感偶合等离子体发射光谱仪Inductive Coupled Plasma Emission Spectrometer ICP 直流等离子体发射光谱仪 Direct Current Plasma Emission Spectrometer DCP 紫外-可见光分光光度计 UV-Visible Spectrophotometer UV-Vis 微波等离子体光谱仪 Microwave Inductive Plasma Emission Spectrometer MI P 原子吸收光谱仪Atomic Ab sorption Spectroscopy A AS 原子荧光光谱仪Atomic Fluorescence Spectroscopy AFS 傅里叶变换红外光谱仪FT-IR Spectrometer FTIR 傅里叶变换拉曼光谱仪FT-Raman Spectrometer FTIR-Raman 气相色谱仪 Gas Chromatograph GC 高压/效液相色谱仪High Pressure/Performance Liquid Chromatography HPLC 离子色谱仪 Ion Chromatograph 凝胶渗透色谱仪Gel Permeation Chromatograph G PC 体积排阻色谱 Size Exclusion Chromatograph SEC X射线荧光光谱仪 X-Ray Fluorescence Spectrometer XRF X射线衍射仪X-Ray Diffractomer XRD 同位素X荧光光谱仪Isotope X-Ray Fluorescence Spectrometer 电子能谱仪 Electron Energy Disperse Spectroscopy 能谱仪 Energy Disperse Spectroscopy EDS 质谱仪 Mass Spectrometer MS ICP-质谱联用仪ICP-MS ICP-MS 气相色谱-质谱联用仪 GC-MS GC-MS 液相色谱-质谱联用仪 LC-MS LC-MS 核磁共振波谱仪Nuclear Magnetic Resonance Spectrometer NMR 电子顺磁共振波谱仪 Electron Paramagnetic Resonance Spectrometer ESR 极谱仪 Polarograph 伏安仪 V oltammerter 自动滴定仪 Automatic Titrator 电导仪 Conductivity Meter pH计 pH Meter 水质分析仪 Water Test Kits 电泳仪 Electrophoresis System 表面科学Surface Science 电子显微镜 Electro Microscopy 光学显微镜 Optical Microscopy 金相显微镜 Metallurgical Microscopy 扫描探针显微镜Scanning Probe Microscopy 表面分析仪 Surface Analyzer 无损检测仪 Instrument for Nondestructive Testing 物性分析Physical Property Analysis 热分析仪Thermal Analyzer 粘度计 Viscometer 流变仪 Rheometer

机械图纸中常用词汇英语缩写

机械图纸英语翻译 以下是我在工作中常用的 ALL WELDS CONTINUOUS UNLESS OTHERWISE STA TED. 未注焊缝均为连续焊 ALL WELDS 3mm FILLET UNLESS OTHERWISE STA TED 未注焊角高3mm. ALL UNSPECIFIED RADI - R3 未注圆角R3 REMOVE ALL BURRS AND SHARP EDGES 棱角倒钝CHANNEL 槽钢 RSA 708 角钢70X70X8 M30X1.5 pitch M30X1.5的锥螺纹Tackweld 点焊 OD 1/4" outside dimension 1/4"的缩写外径直1/4" 75 CRS 尺寸为75 材质为冷轧钢板 410 OPENING REF 410 开口参考尺寸 40 REF 尺寸为40,参考值 2.5" BSP 2.5” 圆锥管螺纹 2.5" BSPT HEXAGON 2.5” 六角圆锥管螺纹(即对丝) 30x2.5 FLA T BAR 30X2.5 扁钢 TYP 2 POSNS 2处

11 TOTAL COILS APROX.9 WORKING COILS APROX.RIGHT HAND WOUND ONL Y,END COILS SQUARE TO TOUCH. 总圈数约11圈;工作圈数约9圈;右旋;弹簧的端部磨平以便于接触.(此为弹簧技术说明) FEMALE: 内扣(母扣) MALE: 外扣(公扣) 偏心轴eccentric shaft 销轴PIN 开口销COTTER PIN 螺杆screw 紧定螺钉SET SCREW 圆螺母ROUND NUT 内六角螺钉SOCKET HEAD CAP SCREW 六角螺钉HEX HD SETSCREW 六角螺栓HEX HD BOL T 挡圈closing ring 弹性挡圈circlip 轴承隔套distance sleeve of axletree 轴承axletree 深沟球轴承DEEP GROOVE BALL BEARING 无扣长non-buckle longth 弹簧SPRING

公司常用英文及缩写

公司常用英文及縮寫 Prototype workshop 樣品中心Engineer standard 工標 W/I 作業指導書Document center (database center) 資料中心Design center 設計中心Education & training 教育訓練Proposal improvement (creative suggestion) 提案改善Technological exchange and study 技術交流研習會Technology and development committee 技術發展委員會BS brain storming 腦力激盪QCC quality control circle 品質圈MN manufacture notice 作業指示書PC production control 生管MC material control 物管MDS master demand schedule 主需求排程MPS master production schedule 主生產排程Sales forecast 銷售預測Noncomforting product 不合格品Defective product 不良品MRP material requirement plan 物料需求計畫MRB material review board 物料評審委員會IPQC in process quality control 過程中的品質管制IQC incoming quality control 進料品質管制FQC final quality control終點品質管制OQC outgoing quality control 最終出貨品質管制QC quality control 品質管制TQC total quality control全面質量管理OQC output quality control 出貨質量保證QA quality assurance 質量保證QE quality engineering 品質工程FAA first article assurance 首件確認FAI first article inspection 首件檢查FPIR first piece inspection report 首件檢查報告CP capability index 能力指數PDCA plan do check action 計畫執行檢查總結DCC delivery control center 交貨管制中心OJT on the job training 在職培訓CAR corrective action report 矯正報告FA failure analysis 失效分析LEBU lighting engine business unit 光學引擎事業處OCBU optics component business unit 光學元件事業處CE component engineering 元件工程ODM original design manufacturing OEM original equipment manufacturing DMT design maturity test 產品驗證EC engineering change 工程變更R/T receipt traveler 材料,收料,檢 驗和驗收入庫之使用單據S/S sample size 樣本大小SSQA standardized supplier quality audit 合格供應商品質評估FMEA failure model effectiveness analysis 失效模式分析ACC accept 允收REE reject 拒收

相关文档
相关文档 最新文档