文档库 最新最全的文档下载
当前位置:文档库 › 珠江口磨刀门水域溶解态Cd、Pb分布的潮流变化特征

珠江口磨刀门水域溶解态Cd、Pb分布的潮流变化特征

珠江口磨刀门水域溶解态Cd、Pb分布的潮流变化特征
珠江口磨刀门水域溶解态Cd、Pb分布的潮流变化特征

数字电路基础考试题9答案

A 卷 一.选择题(18) 1.以下式子中不正确的是( C ) a .1?A =A b .A +A=A c . B A B A +=+ d .1+A =1 2.已知B A B B A Y ++=下列结果中正确的是( ) a .Y =A b .Y =B c .Y =A +B d .B A Y += 3.TTL 反相器输入为低电平时其静态输入电流为( ) a .-3mA b .+5mA c .-1mA d .-7mA 4.下列说法不正确的是( ) a .集电极开路的门称为OC 门 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .O C 门输出端直接连接可以实现正逻辑的线或运算 d 利用三态门电路可实现双向传输 5.以下错误的是( ) a .数字比较器可以比较数字大小 b .实现两个一位二进制数相加的电路叫全加器 c .实现两个一位二进制数和来自低位的进位相加的电路叫全加器 d .编码器可分为普通全加器和优先编码器 6.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态

6.A 7.B 8.A 9. B b.时序电路必然存在状态循环 c.异步时序电路的响应速度要比同步时序电路的响应速度慢 d.边沿触发器具有前沿触发和后沿触发两种方式,能有效克服同步触发器的空翻现象 7.电路如下图(图中为下降沿Jk触发器),触发器当前状态Q3Q2Q1为“011”,请问时钟作用下,触发器下一状态为() a.“110”b.“100”c.“010”d.“000” 8、下列描述不正确的是() a.时序逻辑电路某一时刻的电路状态取决于电路进入该时刻前所处的状态。 b.寄存器只能存储小量数据,存储器可存储大量数据。 c.主从JK触发器主触发器具有一次翻转性 d.上面描述至少有一个不正确 9.下列描述不正确的是() a.EEPROM具有数据长期保存的功能且比EPROM使用方便 b.集成二—十进制计数器和集成二进制计数器均可方便扩展。 c.将移位寄存器首尾相连可构成环形计数器 d.上面描述至少有一个不正确 二.判断题(10分) 1.TTL门电路在高电平输入时,其输入电流很小,74LS系列每个输入端的输入电流在40uA以下() 2.三态门输出为高阻时,其输出线上电压为高电平() 3.超前进位加法器比串行进位加法器速度慢() 4.译码器哪个输出信号有效取决于译码器的地址输入信号() 5.五进制计数器的有效状态为五个() 6.施密特触发器的特点是电路具有两个稳态且每个稳态需要相应的输入条件维持。() 7.当时序逻辑电路存在无效循环时该电路不能自启动() 8.RS触发器、JK触发器均具有状态翻转功能()

数字电路第三章习题与答案

第三章集成逻辑门电路 一、选择题 1. 三态门输出高阻状态时,()是正确的说法。 A.用电压表测量指针不动 B.相当于悬空 C.电压不高不低 D.测量电阻指针不动 2. 以下电路中可以实现“线与”功能的有()。 A.与非门 B.三态输出门 C.集电极开路门 D.漏极开路门 3.以下电路中常用于总线应用的有()。 A.TSL门 B.OC门 C. 漏极开路门 D.CMOS与非门 4.逻辑表达式Y=AB可以用()实现。 A.正或门 B.正非门 C.正与门 D.负或门 5.TTL电路在正逻辑系统中,以下各种输入中()相当于输入逻辑“1”。 A.悬空 B.通过电阻2.7kΩ接电源 C.通过电阻2.7kΩ接地 D.通过电阻510Ω接地 6.对于TTL与非门闲置输入端的处理,可以()。 A.接电源 B.通过电阻3kΩ接电源 C.接地 D.与有用输入端并联 7.要使TTL与非门工作在转折区,可使输入端对地外接电阻RI()。 A.>RON B.<ROFF C.ROFF<RI<RON D.>ROFF 8.三极管作为开关使用时,要提高开关速度,可( )。 A.降低饱和深度 B.增加饱和深度 C.采用有源泄放回路 D.采用抗饱和三极管 9.CMOS数字集成电路与TTL数字集成电路相比突出的优点是()。 A.微功耗 B.高速度 C.高抗干扰能力 D.电源范围宽 10.与CT4000系列相对应的国际通用标准型号为()。 A.CT74S肖特基系列 B. CT74LS低功耗肖特基系列 C.CT74L低功耗系列 D. CT74H高速系列 11.电路如图(a),(b)所示,设开关闭合为1、断开为0;灯亮为1、灯灭为0。F 对开关A、B、C的逻辑函数表达式()。

集成门电路功能测试(三态门)

集成门电路功能测试实验报告 一实验内容 1 三态门的静态逻辑功能测试。 2 动态测试三台门。并画出三态门的输出特性曲线。输入为CP矩形波。 3 测试三态门的传输延迟时间。 4 动态测试三态门的电压传输特性曲线。输入为三角波。 二实验条件 硬件基础实验箱,函数信号发生器,双踪示波器,数字万用表,74LS125。 三实验原理 1 首先测试实验箱上提供的频率电源参数是否正确。 打开实验箱电源,把分别把5MHz的脉冲接入红表笔上,黑表笔接地。观察示波器显示波形的频率是否为5MHz,经过观察计算,波形频率接近5M。误差很小,从下图可以看出,ch1为输入波形一个周期占四个格子,可计算得到f=5MHz。 2 三态门的静态逻辑功能测试。(后面四个实验都是通过示波器在同一时刻测试 3动态测试三台门。并画出三态门的输出特性曲线。输入为CP矩形波。 使能端无效是波形:

使能端有效时输出波形 4 测试三态门的传输延迟时间。 通过测量同一时刻的输入输出波形,可以观察到三态门的输出延迟。得到波形图为

CH1,CH2分别为输入输出波形,可以看出在上升沿的输出延迟为10ns 然而下降沿的时候的截图已经丢失了,依稀记得在实验时候,测得是数据下降沿的输出延迟与上升沿的不一致,并且比上升沿的短。为9.6ns,其传输延迟为两个延迟的平均值9.8ns。 5 测试三态门的电压传输特性曲线。输入为三角波。 得到输入输出波形为:CH1为输入,CH2为输出。

得到阀值电压为0.92V。 四总结 这次实验基本上和上次实验的方法一样,没遇到什么大的问题。就是还是粗心。五评价 实验效果挺好。巩固了对逻辑器件的功能测试的方法和操作。

三态输出电路

三态输出电路 就是具有高电平、低电平和高阻抗三种输出状态的门电路,又称三态门输出电路。在固态机互联板电路,“I/O”板电路中,除了以上几种组合门电路,三态门电路也是必不可少的。 一、电路组成 三态门电路主要有TTL三态门电路和CMOS三态门电路. 不难看出,二种输出三态门电路都是在普通门电路的基础上附加控制电路而构成. 二、工作原理 (1)TTL三态门电路工作原理图1给出了三态门的电路结构图及图形符号。其中控制端·EN为低电平时(面=口/,P点为高电平,二极管D截止,电路工作状态和普通的与非门没有区别。这时Y=·A’B,可能是高电子也可能是低电平,视A、B的状态而定。而当控制端EN为高电平时(EN=1),P点为低电平,它控制T1发射极,把VBl钳位在1V,使T,、T5载止。同时二极管D导通,T4的基极电位被钳在1V,使T4载止。由于T4、T5同时载止,所以输出端呈高阻状态o (2)图2中是将CMOS反相器的输出端同一个模拟开关相串联,即可组成三态门。图中T,、T2组成反相器,TG和反相器3组成模拟开关,其工作原理是:当控制端电压Ve =1时,由于模拟开关断开,输出端与电源Vm,输出端与地都相当于开路,故呈现高阻抗状态。当Ve=OV时,模拟开关闭合,输出电压VY取决于反相器的输入电压。若V4= OV,则T1截止,T2导通,VY=VDD,输出高电平;若Va=1,则Tl导通,T2载止,VY=OV,输出低电平。 上述电路中,控制端EN为低电平时与非门处于工作状态,所以该电路为低电平有效同样还有高电平有效控制电路。 三、三态门电路的应用 (1)多路信号分时传递 在一些复杂的数字系统(象固态机的互联板,U0板等)中,为了减少各个单元电路之间连线的数目,希望能在同一条导线上分时传递若干个门电路的输出信号。这时可采用图3所示的连接方式。图中G1-Gn。均为三态与非门。只要在工作时控制各个门的En端轮流等于“1”,而且任何时候仅有一个等于“1”就可以把各个门的输出信号轮流送到公共的传输线一总线上而互不干扰。 (2)用作双向传输的总线接收器 利用三态输出门电路还能实现数据的双向传输。固态机数据传送这种功能也是常用的。 在图4电路中,当E。=1时,C:工作而C2为高阻抗,数据D。经C1反相后送到总线上去。当皿=0时,C2工作而C1为高阻抗,来自总线的数据经C2反相后由D,送出。 三态输出门电路(TS(Three-state output Gate)门)

集电极开路门与三态输出门的应用

实验 4 集电极开路门与三态输出门的应用 实验目的 1. 掌握 TTL 集电极开路(OC)门的逻辑功能及应用。 2. 掌握 TTL 三态(3S)输出门的逻辑功能及应用。 实验仪器设备与元器件 1.硬件基础电路实验箱,双踪示波器,数字万用表。 2.74LS00,74LS03,CC4011,74LS125 各一块。 实验概述 1.TTL 集电极开路门 图1.4-1所示是一个TTL 二输入集电极开路与非门的逻辑符号和内部电路。 OC 门的使用方法如下: (1) 利用OC 门“线与”特性完成特定逻辑功能。 图1.4.2所示,输出端实现了线与的逻辑功能: 若有一个门的输出为低电平,则F 输出为低,当所有门的输出为高电平,F 输出为高,即在输出端实现了线与的逻辑功能。 (2) 利用OC 门可实现逻辑电平的转换 改变上拉电阻R L 的电源V L 的电压,输出端的逻辑电平会跟V L 改变。不同电平的逻辑电

路可以用OC 门连接。 (3) OC 门用于驱动 OC 门的输出电流较大,可驱动工作电流较大的电子器件。图1.4.3所示是用OC 门驱动发光二级管的低电平驱动电路。 3. TTL 三态门图1. 4.4所示为三态门的逻辑符号和内部结构图,控制端为低有效。 实验内容 1. OC 门的特性及其应用 (1) 参考图1.4.2,用OC 门74LS03验证 OC 门的“线与”功能。R L 为1k Ω时,写出输出F 的表 达式,观测输出与输入信号的逻辑关系,将数据填入自制表格中。 (2) 参考图1.4.7, 验证OC 门74LS03的特性,输入A 、B 接逻辑电平输出信号,输出端Y 接直流电 压表。V L 接+5V,电阻R L 为4.7k, 观测输出与输入信号的逻辑关系,如果去掉R L , 观测输出信号 的变化。V L 改接+15V , 检测输出信号的高电平和低电平电压。 (3) 参考图1.4-8,用OC 门74LS03驱动COMS 电路与非门CD4011,V L 接+5V ,调节电位器R w ,

三态逻辑与非门基本输出状态及其应用电路解析

三态逻辑与非门基本输出状态及其应用电路解析 我们常说三态门,那么三态门到底是什么呢?三态又指的是哪三态呢?别急,接下来我会你具体讲解什么是三态门,以及它的应用电路解析。 什么是三态门?三态门,是指逻辑门的输出除有高、低电平两种状态外,还有第三种状态——高阻状态的门电路高阻态相当于隔断状态。三态门都有一个EN控制使能端,来控制门电路的通断。可以具备这三种状态的器件就叫做三态(门,总线,。..。..)。 举例来说: 内存里面的一个存储单元,读写控制线处于低电位时,存储单元被打开,可以向里面写入;当处于高电位时,可以读出,但是不读不写,就要用高电阻态,既不是+5v,也不是0v 计算机里面用1和0表示是,非两种逻辑,但是,有时候,这是不够的, 比如说,他不够富有但是他也不一定穷啊,她不漂亮,但也不一定丑啊, 处于这两个极端的中间,就用那个既不是+也不是―的中间态表示,叫做高阻态。 高电平,低电平可以由内部电路拉高和拉低。而高阻态时引脚对地电阻无穷,此时读引脚电平时可以读到真实的电平值。 高阻态的重要作用就是I/O(输入/输出)口在输入时读入外部电平用. 1. 三态门的特点 三态输出门又称三态电路。它与一般门电路不同,它的输出端除了出现高电平、低电平外,还可以出现第三个状态,即高阻态,亦称禁止态,但并不是3个逻辑值电路。 2. 三态逻辑与非门 三态逻辑与非门如图Z1123所示。这个电路实际上是由两个与非门加上一个二极管D2组成。虚线右半部分是一个带有源泄放电路的与非门,称为数据传输部分,T5管的uI1、uI2称为数据输入端。而虚线左半部分是状态控制部分,它是个非门,它的输入端C称为控制端,或称许可输入端、使能端。 当C端接低电平时,T4输出一个高电平给T5 ,使虚线右半部分处于工作状态,这样,电

实验四TTL集电极开路门和三态输出门测试_图文(精)

实验四 TTL 集电极开路门和三态输出门测试 一、实验目的 1 、掌握 TTL 集电极开路门 (OC 门的逻辑功能及应用。 2 、了解集电极负载电阻 RL 对集电极开路门的影响。 3 、掌握 TTL 三态输出门 (3S 门的逻辑功能及冈山。 二、实验原理 数字系统中有时需要把两个或两个以上集成逻辑门的输出端直接并接在一起完成一定的逻辑功能。对于普通的 TTL 电路 , 由于输出级采用了推拉式输出电路 , 无论输出是高电平还是低电平 , 输出阻抗都很低。因此 , 通常不允许将它们的输出端并接在一起使用 , 而集电极开路门和三态输出门是两种特殊的 TTL 门电路 , 它们允许把输出端直接并按在一起使用 , 也就是说 , 它们都具有 " 线与 " 的功能。 1 、 TTL 集电极开路门 (OC 门 本实验所用 OC 门型号为 2 输入四与非门 74LS03, 引脚排列见附录。工作时 , 输出端必须通过一只外接电阻 RL 和电源 Ec 相连接 , 以保证输出电平符合电路要求。 OC 门的应用主要有下述三个方面 : (l 电路的 " 线与 " 特性方便的完成某些特定的逻辑功能。图4 · l 所示 , 将两个 OC 门输出端直接并接在一起 , 则它们的输出 F=FA·FB=A1A2·B1B2 =A1A2+B1B2 图 4-1 0C 与非门 " 线与 " 电路图 4-2 0C 与非门负载电阻 RL 的确定 即把两个 ( 或两个以上〉 OC 与非门 " 线与 " 可完成 " 与或非 " 的逻辑功能。 (2 实现多路信息采集 , 使两路以上的信息共用一个传输通道 ( 总线。

(3 实现逻辑电平转换 , 以推动荧光数码管、继电器、 MOS 器件等多种数字集成电路。 OC 门输出并联运用时负载电阻 RL 的选择 : 如图 43 所示 , 电路由 n 个 OC 与非门 " 线与 " 驱动有 m 个输入端的 N 个 TTL 与 1Hl, 为保证 OC 门输出电平符合逻辑要求 , 负载屯阻 RI 阻值的选抨范围为: 式中 :IOH 一一 -OC 门输出管截止时 ( 输出高电平 VOEf 〉的漏电流〈约为 50uA ILM 一一一 OC 门输出低电平 VOL 时允许最大灌入负载电流 ( 约为 2OmA ItH 一一 -负载门高电平输入电流 (<5011A Itl, 一一负载门低电平输入电流 (<1.6mA Ec 一 -RL 外接电源电压 n 一一 OC 门个数 N 一一负载门个数 M 一一接入电路的负载门输入端总个数 RL 值须小于 RLmax, 否则 VOEt 将下降 ,RL 值须大于 RLmiI1, 否则 VOL 将上升 , 又 RL 的大小会影响输出波形的边沿时间 , 在工作速度较高时 ,RL 应尽量选取接近 RIAin 。 2 、 TTL 三态输出门 (3S 门

2 实验二三态门实验

实验二、三态门实验 一、实验目的 1、掌握三态门逻辑功能和使用方法。 2、掌握用三态门构成总线的特点和方法。 3、初步学会用示波器测量简单的数字波形。 二、实验所用仪器和芯片 1、四二输入与非门74LS00 1片 2、三态输出的四总线缓冲门74LS125 1片 3、TEC-5实验系统 1台 4、示波器 1台 三、实验内容 1、74LS125三态门的输出负载为74LS00的一个与非门输入端。74LS00同一个与非门的另一个输入端接低电平,测试74LS125三态门的三态(高阻)输出、高电平输出、低电平输出的电压值。 同时测试74LS125三态输出时74LS00的输出值。 2、74LS125三态门的输出负载为74LS00的一个与非门输入端。74LS00同一个与非门的另一个输入端接高电平,测试74LS125三态门三态(高阻)输出、高电平输出、低电平输出的电压值。 同时测试74LS125三态输出时74LS00的输出值。

*3、用74LS125两个三态门输出构成一条总线。使两个控制端一个为低电平,另一个为高电平。一个三态门的输入接50kHz信号,另一个三态门的输入接 500KHz信号。用示波器观察三态门的输出。 四、实验提示 1、三态门74LS125的控制端C为低电平有效。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 五、实验报告要求 1、画出实验的逻辑电路图。 2、分析每个实验的实验现象。 3、分析实验1和实验2中三态门输出电压不同的原因。 *4、用三态门74LS125构成总线时,三态门输出应怎样连接?为什么在任何时刻,构成总线的三态门中只允许一个控制端为低电平,其余控制端应为高电平。

三态门电路实验报告

实验二(1)三态门电路设计 班级姓名学号 一、实验目的 熟悉QuartusII仿真软件的基本操作,并用VHDL/Verilog语言设计一个三态门。 二、实验内容 1、熟悉QuartusII软件的基本操作,了解各种设计输入方法(原理图设计、文本设计、波形设计) 2、用VHDL语言设计一个三态门,最终在FPGA芯片上编程指令译码器,并验证逻辑实现。 三、实验方法 1、实验方法: 采用基于FPGA进行数字逻辑电路设计的方法。 采用的软件工具是QuartusII软件仿真平台,采用的硬件平台是Altera EPF10K20TI144_4的FPGA试验箱。 2、实验步骤: 1、新建,编写源代码。 (1).选择保存项和芯片类型:【File】-【new project wizard】-【next】(设置文件路径+设 置project name为stm)-【next】(设置文件名zlym.vhd—在【add】)-【properties】 (type=AHDL)-【next】(family=FLEX10K;name=EPF10K10TI144-4)-【next】-【finish】 (2).新建:【file】-【new】(第二个AHDL File)-【OK】 2、写好源代码,保存文件(stm.vhd)。 3、编译与调试。确定源代码文件为当前工程文件,点击【processing】-【start compilation】进行文件编译。编译结果有一个警告,文件编译成功。 4、波形仿真及验证。新建一个vector waveform file。按照程序所述插入EN,A以及dataout)四个节点(EN,A为输入节点,dataout为输出节点)。(操作为:右击-【insert】-【insert node or bus】-【node finder】(pins=all;【list】)-【>>】-【ok】-【ok】)。任意设置EN,A的输入波形…点击保存按钮保存。然后【start simulation】,出name dataout的输出图。 5、时序仿真。暂时不知道什么是时序仿真 6、FPGA芯片编程及验证 选择pins,连接计算机到实验箱,操作。 四、实验过程 3、编译过程 a)源代码如图(VHDL设计)

实验三 三态门

实验三三态门 一、实验目的 1.熟悉计三态输出门的逻辑功能和使用方法。 2.掌握用三态门构成公共总线的特点和方法。 二、实验器材 1.数字逻辑实验箱 2.双踪示波器 3.与非门74LS00(1片)、三态门74LS125(1片) 三、预习要求 1.复习三态门有关知识,了解其逻辑功能及管脚。 2.复习三态门实现总线传输的方法。 四、实验原理 1.三态门(TS) 三态门有三种输出状态:高电平输出、低电平输出和高阻输出状态。常见的三态门有控制端高电平有效和低电平有效两种类型。三态输出门除了有多输入三态与非门,还经常做成单输入、单输出的总线驱动器,并且输入与输出有同相和反相两种类型。例如:74LS125就是单输入、单输出的控制端 低电平有效的同相三态输出门。即E=0时,Y=A;E=1时为高阻态。三态门主要用途之一是实现总线传输,各三态门输出端可以并联使用一个传输通道,以选通的方式传送多路信息。使用时注意输出端并接的三态门只能有一个处于工作状态(E=0)。其余必须处于高阻状态(E=1)。三态门驱动能 力强,开关速度快,在中大规模集成电路中广泛采用三态门输出电路,作为计算机和外围电路的接口电路。 如图2-1为三态门逻辑符号。 A B 图2-1 三态门逻辑符号 五、实验内容 1.三态门逻辑功能测试: 查出三态门74LS125的引脚图,验证各三态门逻辑功能。按图2-1(A)在实验箱上连线,先接上电源和地线,然后用逻辑电平控制输入端A和使能端E,用L显示输出Y的状态,实验结果填入下表:表2-1 74LS125逻辑功能表:

2.用三态门74LS125构成公共总线: 要求:用三个三态门构成一条公共总线,参考图21(B)。使三个输入端状态分别为“0”、“1”、CP,观测公共总线输出状态。 (1)按上述要求画出公共总线的逻辑图。 (2)在实验箱上连线:A1、0(GND),A2、1(Vcc),A3、CP(1KHz或100KHz信号源输出),三个使能端E1……E3分别由三个逻辑开关控制其电平的高低。 (3)检查线路无误后,通电测试。用双踪示波器测试输入和输出的状态及波形并记录。 注意:三态门74LS125的使能端是低电平有效,做总线传输时,要求只有需传输信息的那个三态门的使能端E=0,进入工作状态,其余各门皆处于禁止状态E=1(呈高阻态)。否则,将造成逻辑混乱和 损坏芯片。 六、实验报告要求 1.按实验要求画出有关电图图,记录观察到的数据和波形。 2.分析波形变化的原因。 七、思考题 1.三态门的工作原理和特点是什么? 2.设计用两个三态门构成一条双向总线,画出电路图并测试。

数电题 第3章 练习题答案

1.CMOS电路的两个主要优点是和。(低功耗,抗干 扰能力强) 2.代表门电路抗干扰能力的参数是。(噪声容限) 3.三态门输出的三种状态分别为:、和。(0) (1)(高阻) 4.在CMOS门电路的输入端与电源之间接一个1KΩ电阻,相当于在 该输入端输入电平。(高) 5.能够实现“线与”的TTL门电路叫,能够实现“线与”的 CMOS门电路叫。(OC门)(OD门) 6.在CMOS门电路的输入端与电源之间接一个1KΩ电阻,相当于在 该输入端输入电平。(高) 7.在逻辑电路中,三极管通常工作在和状态。(饱 和)(截止) 8.使用TTL与非门时下列做法中错误的是()。(C) A、不用的输入端空着或剪去; B、将各输入端并联作非门用; C、将几个门的输出端并联作线与 9.下列几种TTL电路中,输出端可实现线与功能的电路是()。(D) A、或非门 B、与非门 C、异或门 D、OC门 10.对CMOS与非门电路,其多余输入端正确的处理方法是()。(D) A、通过大电阻接地(>1.5KΩ); B、悬空; C、通过小电阻 接地(<1KΩ);D、通过电阻接VCC

11.使用TTL与非门时下列做法中错误的是()。(C) A、不用的输入端空着或剪去; B、将各输入端并联作非门用; C、将几个门的输出端并联作线与 12.对CMOS与非门电路,其多余输入端正确的处理方法是()。(D) A、通过大电阻接地(>1.5KΩ); B、悬空; C、通过小电阻接地(<1KΩ); D、通过电阻接V DD 13.使用TTL与非门时下列做法中错误的是()。(C) A、不用的输入端空着或剪去; B、将各输入端并联作非门 用;C、将几个门的输出端并联作线与

集电极开路门与三态输出门的应用

电子电路 集电极开路门与三态输出门的应用 院系:信息院 专业班级: 姓名学号: 姓名学号:

集电极开路门与三态输出门的应用 1.1 实验目的 1. 掌握TTL 集电极开路(OC)门的逻辑功能及应用。 2. 掌握TTL 三态(3S)输出门的逻辑功能及应用。 1.2 实验仪器设备与元器件 1.硬件基础电路实验箱,双踪示波器,数字万用表。 2.74LS00,74LS03,CC4011,74LS125各一块。 1.3 实验概述 1. 要求预习:学习OC 门和三态门的工作原理和使用方法;完成实验任务所提出的设计内容,画实验电路图,列记录表。 2. TTL 集电极开路门(OC 门) 普通的TTL 门由于输出级采用了推拉式输出电路,不允许把两个或两个以上的输出端直接并接在一起,否则,将烧坏电路。 集电极开路门(Open-Collector TTL Gate 简称OC 门)和三态门(Tristate TTL Gate)是两种特殊的门电路,允许把它们的输出端直接并接在一起使用。 图1.9b.1是一个TTL 二输入集电极开路与非门的逻辑符号和内部电路。图中OC 门的输出管T 3的集电极是悬空的。当A ,B 中有一个端接低电平时,T 3截止,输出端的电平由外部所接电路决定,通常输出端外接一个上拉电阻R ,电阻的另一端与电源V cc 2相连接,这时输出端为高电平,电平电压取决于V cc 2的电压;当A ,B 同时接高电平时,T 3导通,输出为低电平。输出与输入的逻辑关系为AB =Y 。 外接上拉电阻R 的选取应保证门电路的输出电平和驱动电流能符合所接负载的设计要求,输出高电平时,不低于输出高电平的最小值;输出低电平时,不高于输出低电平的最大值。 由于OC 门上拉电阻外接,减小了内部电路功耗,电路的驱动电流较大,应用OC 门使电路设计灵活。

实验四 三态门逻辑功能测试

实验四三态门逻辑功能测试 班级:计科三班学号:20100810323 姓名:夏雪 一、实验准备(老师指导) 1.测试5M脉冲信号能否使用 将5M的脉冲信号加在实验箱上,输出显示在示波器上。 2.认识三态门的管脚 记住三态门的管脚分配情况,便于实验进行:1使能,2输入,3输出;4使能,5输入,6输出;7接地;8使能,9输入,10输出;11使能,12输入,13输出;14接电源。 3.示波器中信号的合并 一般示波器中波形的显示为信号与实间的关系,即为X—T(Y—T)关系图,如果要将示波器上两信号合并,则将显示选项改为X—Y。 4.对延迟时间的理解 延迟时间的测试要用到示波器的延迟扫描,对波形展开放大后,观察波形上升点与下降点,上升10%开始记为上升时间,下降10%开始记为下降时间。 二、实验内容 1.测试三态门的逻辑功能并测输入输出电压(静态测试); 2.测试三态门的传输特性,输入端接在CP端; 3.测三态门的传输延迟; 4.输入端接入函数发生器的三角波(最小值为0V,最大值不超过5V),将输入输出信号显示在示波器上,并将两信号合并; 三、实验条件 实验箱,74LS125芯片,导线,示波器,信号发生器,万用表 四、实验电路 1.测三态门的逻辑功能并测输入输出电压

2.测三态门的传输特性(输入信号为实验箱内的CP) 3.传输延迟 4.信号合成CP 5MHZ

五、实验结果及结论 1.三态门的逻辑功能测试 使能端1和输入端2接逻辑信号,按下灯亮表示输入信号为高电平1,未按下灯不亮表示输入信号为低电平0,输出3接在发光二级管上,发光为黄色表示输出信号为低电平,为红色表示输出信号为高电平 输入的逻辑信号为00,输出信号灯不亮(实验中为发光二极管,显示为黄色),表示输出为低电平,即为0。 输入端2的输入电压为0V,输出3电压为24.6mV。

OC门和三态门相关知识

OC门和三态门(双击自动滚屏) 集电极开路门电路(OC门) 在TTL与非门电路中将T4解掉换成电阻R c(如下图): 动画演示图下:

其逻辑功能并没有改变,仍有 A=B=1, T5导通,输出端为低电平Y=0。 A、B中只要有一个0, T 5 截止,输出端为高电平5V(TTL与非门输出高电平Yv OH=3.6V),Y=1。 由R 4取代T 4 ,显然逻辑功能未变,但速度大为降低。 把R4不做在集成电路的内部(T5的集电极处于开路状态),使用OC门集成块时,用户必须选定合适的阻值,将R c接到门的输出端与电源之间,该OC门才能具有稳定的逻辑功能(如不把R c接进去,任其集电极开路,该电路不具备正常的逻辑功能)。这种电路称为集电极开路门电路——简称OC门。用如下符号表示: OC门的最大特点是具有线与功能。几个OC门共用一个R c (输出端并接在一起),其输出为单个OC门输出之积(与)。

三态输出门电路(TS(Three-state output Gate)门)

上图为三态门输出门电路的原理图。在图中,如果将虚线方框内的两个反相器和一个二极管剪掉,剩下的部分就是典型的TTL与非门电路。 所谓三态是指输出端而言。普通的TTL与非门其输出极的两个晶体管T4、T5始终保持一个导通,另一个截止的推拉状态。T4导通,T5截止,输出高电平Y=1;T4截止,T5导通,输出低电平,Y=0。三态门除了上述两种状态外,又出现了T4、T5同时截止的第三种状态。因为晶体管截止时c、e之间是无穷大阻抗,输出端Y对地、对电源(v cc)阻抗无穷大。因此这第三种状态也称高阻状态。 现对三种状态进行分析: 控制信号可在E N处加入,也可在处加入: E N=0,=1,则C=0,v B1=0.9V,v c2=0.9V v B4=v c2=0.9V,T4截止(T4导通的电位v B4>1.4V) v B1=0.9V,T5截止,输出端Y为高阻状态。 E N=1,=0,C=1,对与非门另两个A、B输入端无影响,为正常的与非门电路。 当A=B=1,则T2、T5导通,v c2=1.0V(前已分析)。二极管D处于反相截止状态(因为其阳极电压v c2=1.0V,小于阴极C点电位v IH=3.4V),在电路中不起作

三态门实验

题目:4.3三态门实验

目录 1. 数字逻辑和数字系统实验 (3) 1.1.三态门实验 (3) 1.1.1.实验目的 (3) 1.1.2.实验内容 (3) 1.1.3.实验方法 (3) 1.2.实验结果....................................................................... 错误!未定义书签。 2.实验图片 (5)

1. 数字逻辑和数字系统实验 三态门实验 实验目的: 1.掌握三态门逻辑功能和使用方法。 2.掌握用三态门构成总线的特点和方法。 3.初步学会用示波器测量简单的数字波形。 实验内容: 1.74LS125三台们的输出负载为74LS00一个与非门输入端。74LS00同一 个与非门的另一个输入端接低电平,测试74LS125三态门三态输出、高电平输出、低电平输出的电压值。同时测试74LS125三态输出时74LS00输出值。 2. 74LS125三台们的输出负载为74LS00一个与非门输入端。74LS00同一 个与非门的另一个输入端接高电平,测试74LS125三态门三态输出、高电平输出、低电平输出的电压值。同时测试74LS125三态输出时74LS00输出值。 3.用74LS125两个三态门输出构成一条总线。使两个控制端一个为低电 平,另一个为高电平,一个三态门的输入接50KHz信号,另一个三态门的输入接500KHz信号,用示波器观察三态门的输出。 实验方法 1.实验所用仪器和仪表: 四2输入正与非门74LS00 1片 三态输出的四总线缓冲门74LS125 1片 万用表 示波器 2.实验接线图:

三态输出门电路及应用

三态输出门电路及应用 史明科 所谓三态门,就是具有高电平、低电平和高阻抗三种输出状态的门电路。我们以前国产发射机控制电路中,尤其逻辑控制电路中,像“或(66‘与”“非”及它们组合的门电路常常使用,但却没有三态门电路的应用。在固态机互联板电路,“I/O”板电路中,除了以上几种组合门电路,三态门电路也是必不可少的。 一、电路组成 三态门电路主要有TIL三态门电路和 CMOS三态门电路,其电路结构及逻辑符号分别如下: 不难看出,二种输出三态门电路都是在普通门电路的基础上附加控制电路而构成。 二、工作原理

(1)TTL三态门电路工作原理图1给出了三态门的电路结构图及图形符号。其中控制端·EN为低电平时(面=口/,P点为高电平,二极管D截止,电路工作状态和普通的与非门没有区别。这时Y=·A’B,可能是高电子也可能是低电平,视A、B的状态而定。而当控制端EN为高电平时(EN=1),P点为低电平,它控制T1发射极,把VBl钳位在1V,使T,、T5载止。同时二极管D导通,T4的基极电位被钳在1V,使T4载止。由于T4、T5同时载止,所以输出端呈高阻状态o (2)图2中是将CMOS反相器的输出端同一个模拟开关相串联,即可组成三态门。图中T,、T2组成反相器,TG和反相器3组成模拟开关,其工作原理是:当控制端电压Ve =1时,由于模拟开关断开,输出端与电源 Vm,输出端与地都相当于开路,故呈现高阻抗状态。当Ve=OV时,模拟开关闭合,输出电压VY 取决于反相器的输入电压。若V4= OV,则T1截止,T2导通,VY=VDD,输出高电平;若Va=1,则Tl导通,T2载止,VY=OV,输出低电平。 上述电路中,控制端EN为低电平时与非门处于工作状态,所以该电路为低电平有效同样还有高电平有效控制电路。 三、三态门电路的应用 (1)多路信号分时传递

基本门电路逻辑功能的测试

河 北 科 技 大 学 实 验 报 告 级 专业 班 学号 年 月 日 姓 名 同组人 指导教师 王计花 任课教师 实验名称 实验二 基本门电路逻辑功能的测试 成 绩 实验类型 验证型 批阅教师 一、实验目的 (1)掌握常用门电路的逻辑功能,熟悉其外形及引脚排列图。 (2)熟悉三态门的逻辑功能及用途。 (3)掌握TTL 、CMOS 电路逻辑功能的测试方法。 二、实验仪器与元器件 (1)直流稳压电源 1台 (2)集成电路 74LS00 四2输入与非门 1片 74LS86 四2输入异或门 1片 74S64 4-2-3-2输入与或非门 1片 74LS125 四总线缓冲门(TS ) 1片 CD4011 四2输入与非门 1片 三、实验内容及步骤 1.常用集成门电路逻辑功能的测试 在数字实验板上找到双列直插式集成芯片74LS00和74LS86。按图进行连线。测试各电路的逻辑功能,并将输出结果记入表中。 门电路测试结果 2.测试与或非门74S64的逻辑功能 在实验板上找到芯片74S64,实现Y AB CD =+的逻辑功能。 Y Y &

3.用与非门组成其他逻辑门电路 (1)用与非门组成与门电路 按图接线,按表测试电路的逻辑功能。根据测得的真值表,写出输出Y的逻辑表达式。 真值表 逻辑表达式: (2)用与非门组成异或门电路 按图接线,将测量结果记入表中,并写出输出Y 的逻辑表达式。 真值表 逻辑表达式: 真值表 4.三态门测试 (1)三态门逻辑功能测试 三态门选用 74LS125将测试结果记入表中。 (2)按图接线。将测试结果记录表中。 真值表

河北科技大学 实验报告 级专业班学号年月日姓名同组人指导教师王计花任课教师实验名称实验三示波器的使用及门电路测试成绩 实验类型综合型批阅教师 一、实验目的 (1)熟悉双踪示波器的面板结构,学习其使用方法。 (2)进一步学习数字实验板的使用方法。 (3)进一步掌握TTL与非门的特性和测试方法。 二、实验仪器与元器件 (1)直流稳压电源1台 (2)信号发生器1台 (3)6502型示波器1台 (4)集成电路74LS00 四2输入与非门1片 三、实验内容及步骤 1.信号发生器的使用 信号发生器选择不同的按键,可以产生TTL/CMOS标准电平的数字信号,信号从“数字输出”端引出。 通过改变信号发生器的输出频率,观察发光二极管的变化情况。当信号的输出频率较高时,需要用示波器来观察。 2.示波器的使用 (1)示波器的自检 在示波器上读测“校准信号”(方波0.5V、1kHz)电压的峰-峰值、周期和频率,将结果记入表中,并与给定的标准信号值进行比较。 校准信号数据记录2 校准信号数据记录1 (2)TTL数字信号高、低电平值、幅值及频率的测量 先将信号发生器输出的TTL信号频率调为10kHz,再用示波器对其进行测试。 1)高、低电平及幅度值的测量,读出高电平、低电平的电压值,将结果记入表中。 2)频率的测量,使波形在示波器显示两个完整周期,读出波形一个周期所占的格数d,计算周期值T和f。将结果记入表中。

三态门(总线)

2.2 三态门 1.基本原理 在数字系统中,常常需要把多个门电路的输出端连接在一起,比如接到数据总线上。但一般的门电路都只有两个输出状态:输出高电平状态与输出低电平状态。把这些门电路的输出端连接在一起,在某一个时刻,可能会出现一个以上的门电路的输出同时为高电平状态或者低电平状态,这样就会引起逻辑电平的不确定。使用三态门可以很好地解决这个问题。三态门电路有三个输出状态:输出高电平状态、输出低电平状态,以及输出高阻状态。当三态门电路输出为高阻状态时,三态门的输出端相当于开路,对总线上连接的其它器件没有影响。我们可以利用三态门的这个优点对需要通过总线的数据进行分时传送,这样数据的传送就不会出现混乱了。 简单的三态门电路如图2.2.1a所示,图2.2.1b是它的代表符号。其中EN为片选信号输入端,A为数据输入端,L为数据输出端。 图2.2.1 三态门电路 (a) 电路图(b) 代表符号

当EN=0时,TP2和TN2同时导通,为正常的非门,输出L=- A;当EN=1 时,TP2和TN2同时截止,输出为高阻状态。所以,这是一个低电平有效的三态门。 三态门的真值表如表2.2.1所示。由真值表可以得出逻辑表达式:当EN=0 时,L=- A;当EN=1时,L=Z。其中Z表示高阻状态。 表2.2.1 三态门的真值表 2.实现方案 通过FPGA来实现三态门的功能有以下几种方式: (1) 用case语句和if….else语句来实现。先判断EN是否等于1,如果EN 等于1,则输出端L=Z;如果不等于1,再判断A是否等于0,如果等于0,则输出端L=1,如果不等于0,则输出端L=0。 (2) 用if….else语句来实现。先判断EN是否等于1,如果EN等于1,则输出端L=Z;如果不等于1,则输出L=~A。 (3) 用“?:”语句来实现,输出端L=EN ? 1’bZ : (~A)。 3.FPGA的实现 下面以第三种方案为例来进行FPGA的实现。 (1) 创建工程并设计输入 ①在E:\project\目录下,新建名为notif的新工程 器件族类型(Device Family)选择“Virtex2P”, 器件型号(Device)选“XC2VP30 ff896 -7”, 综合工具(Synthesis Tool)选“XST (VHDL/Verilog)”,

数电实验三 三态门实验

深圳大学实验报告 课程名称:数字电子技术基础 实验项目名称:实验三:三态门实验 学院:光电工程学院 专业:光源与照明 指导教师:李佳 报告人:黄学号:2016 班级:02 实验时间:2018年11 月07 星期五 实验报告提交时间:2018年11 月09 日星期 教务处制

控制端高电平有效的三态门 控制端低电平有效的三态门 (a)(b) 图1:三态门电路图及电路符号 图1(a)为三态门电路图,EN = 0时,电路为正常的与非工作状态,控制端低电平有效。当EN = 1时,门电路输出端处于高阻状态。 四、实验内容与步骤: 实验内容: 1、74LS125三态门的输出负载为74LS00一个与非门输入端。

图3.1 实验内容1和内容2接线图 74LS00引脚2为低电平时,测试74LS125引脚3和 。 74LS00引脚2为高电平时,测试74LS125引脚3和 。 连接电路,两个输入端一个连接低电平,一个连接高电平,一个三态门的信号,另一个三态门的输入接10kH Z信号。用示波器观察三态门的输出。 K1 1

③:K1为高电平,K2为高电平时,波形图如下,输出几乎是一条直线,并且电压只 有一百多mV.

七、实验结论: 1 根据实验数据,得到的结论; 答:由实验可知,74LS125表现出三态,当74LS125的引脚1为高电平时,为高阻态,74LS125的引脚3不跟随引脚2的高低电平变化;当74LS125的引脚为低电平时,74LS125的引脚3跟随引脚2的高低电平变化 2 实验过程中遇到的问题及解决方法; 答:实验用示波器测量时,测量100kHz的波形时,发现有效电压只有500mV 并且波形稳定,后来重置示波器设置,把探头倍率调为×1,得到有效电压为5V, 3 心得体会和意见,以及改进实验的建议。 答:实验拓展部分,K1为高电平,K2为高电平时,或者K1为低电平,K2 电平时,我应该进一步测量,但限于时间限制没有进行,如K1为高电平,K2为高电平时,虽然示波器测量的有效电压只有几十mV,但是还可以输出方波,应进一步实验

如何判断输出的高低电平(三态门)

如何判断输出的高低电平 (三态门)

什么是三态门? 三态门,是指逻辑门的输出除有高、低电平两种状态外,还有第三种状态——高阻状态的门电路。高阻态相当于隔断状态(电阻很大,相当于开路)。三态门都有一个EN控制使能端,来控制门电路的通断。可以具备这三种状态的器件就叫做三态(门,总线,......)。 计算机里面用 1和0表示是,非两种逻辑,但是,有时候,这是不够的,比如说,他不够富有,但是他也不一定穷啊;她不漂亮,但也不一定丑啊,处于这两个极端的中间,就用那个既不是+也不是―的中间态表示,叫做高阻态。高电平,低电平可以由内部电路拉高和拉低。而高阻态时引脚对地电阻无穷,此时读引脚电平时可以读到真实的电平值。高阻态的重要作用之一就是I/O(输入/输出)口在输入时读入外部电平用。 高阻态相当于该门和它连接的电路处于断开的状态。(因为实际电路中你不可能去断开它,所以设置这样一个状态使它处于断开状态)。三态门是一种扩展逻辑功能的输出级,也是一种控制开关。主要是用于总线的连接,因为总线只允许同时只有一个使用者。通常在数据总线上接有多个器件,每个器件通过OE/CE之类的信号选通。如器件没有选通的话它就处于高阻态,相当于没有接在总线上,不影响其它器件的工作。 如果你的设备端口要挂在一个总线上,必须通过三态缓冲器。因为在一个总线上同时只能有一个端口作输出,这时其他端口必须在高阻态,同时可以输入这个输出端口的数据。所以你还需要有总线控制

管理,访问到哪个端口,那个端口的三态缓冲器才可以转入输出状态,这是典型的三态门应用。如果在线上没有两个以上的输出设备, 当然用不到三态门,而线或逻辑又另当别论了。

三态门电路

什么是三态门信号 三态信号(Tri-State或T/S),它与一般门电路不同,它的输出端除了出现高电平、低电平外,还可以出现第三个状态,即高阻态,亦称禁止态,但并不是3个逻辑值电路。具备这三种状态的器件就叫做三态门。一般门与其它电路的连接,无非是两种状态,1或者0,在比较复杂的系统中,为了能在一条传输线上传送不同部件的信号,研制了相应的逻辑器件称为三态门,三态门除了有这两种状态以外还有一个高阻态,就是高阻抗,相当于该门和它连接的电路处于断开的状态。(因为实际电路中你不可能去断开它,所以设置这样一个状态使它处于断开状态)。三态门是一种扩展逻辑功能的输出级,也是一种控制开关,主要是用于总线的连接,因为总线只允许同时只有一个使用者。通常在数据总线上接有多个器件,每个器件通过CS之类的信号选通,如器件没有选通的话它就处于高阻态,相当于没有接在总线上,不影响其它器件的工作。只有被选通的设备获得总线使用权的设备才能驱动信号,而没有获得总线使用权的设备则不能够驱动信号。为了防止总线上各个设备之间的冲突,那些接在总线上设备需要先将输出信号置为三态,相当于总线断开,避免与总线上的其它设备发生冲突。这种输出端口便是带三态的输出端口。 持续三态信号(Sustained Tri-State或s/t/s,或称STS),是一个低电平有效的三态信号,在某一时刻有一个且只可能有一个设备驱动,驱动这个信号为低的设备在它释放对这个信号控制之前(也即是使这个信号浮空)必须驱动这个信号为高电平并至少维持这个高电平一个时钟周期。新的设备只有在原先拥有这个信号的设备释放对这个信号控制之后才可以驱动这个信号。s/t/s的信号需要上拉电阻,以使没有任何设备驱动他时,保持一个无效电平,即高电平。这个上拉电阻由主控制设备提供。 三态逻辑与非门 三态逻辑与非门如下图所示。这个电路实际上是由两个与非门加上一个二极管D2组成。虚线右半部分是一个带有源泄放电路的与非门,称为数据传输部分,T5管的u I1、u I2称为数据输入端。而虚线左半部分是状态控制部分,它是个非门,它的输入端C称为控制端,或称许可输入端、使能端。

相关文档