文档库 最新最全的文档下载
当前位置:文档库 › 集成电路版图设计报告

集成电路版图设计报告

集成电路版图设计报告
集成电路版图设计报告

重庆大学本科学生专业版图课程设计任务书

说明:1、学院、专业、年级均填全称,如:光电工程学院、测控技术、2003。

2、本表除签名外均可采用计算机打印。本表不够,可另附页,但应在页脚添加页码。

摘要

近些年来,集成电路技术发展迅猛,促使半导体技术不断地发展,半导体技术正在进入将整个系统整合在单一晶片上的时代。故对VLSI的版图设计的要求也越来越高。Tanner软件可提供完整的集成电路设计环境,帮助初学者进入VLSI设计领域。本设计采用Tanner Tools Pro 工具,对DC-DC升压变换器芯片的过压保护电路进行版图设计与仿真,在报告中给出版图与仿真结果。

关键词:集成电路半导体 Tanner

Abstract

In recent years, the integrated circuit technology develops rapidly, which promote the semiconductor technology develop. Semiconductor technology are entering a new era that the whole system integrated in a single chip. Therefore, the requirement of VLSI layout design is higher. Tanner software can provide a complete integrated circuit design environment, which helps beginners step into the VLSI design field. This design uses Tanner Tools Pro design and simulate the over-voltage circuit of DC-DC boost converter chip. The layout and simulation results will be given in the report.

Key word:integrated circuit semiconductor Tanner

目录

1 设计背景及意义 (5)

1.1 概要 (5)

1.1.1 集成电路 (5)

1.1.2 Tanner Tools Pro (5)

1.2 国内外现状 (5)

2 电路分析 (6)

3 版图绘制 (7)

3.1 单个器件绘制 (7)

3.1.1 M1与M2管 (7)

3.1.2 M3与M4管 (8)

3.1.3 M5管 (8)

3.1.4 M6管 (8)

3.1.5 M7管 (8)

3.1.6 M8-M13管 (8)

3.1.7 M14管 (9)

3.1.8 M15管 (9)

3.1.9 M16管 (9)

3.1.10 电阻 (9)

3.1.11 压焊块pad (10)

3.2 总图 (11)

3.2.1 器件总图 (11)

3.2.1 整体图 (12)

4 仿真 (12)

4.1 前仿真 (12)

4.2 后仿真 (13)

5 心得体会 (14)

参考文献 (15)

附录 (15)

附录1 网表文件 (15)

附录2 仿真结果文件 (17)

1 设计背景及意义

1.1 概要

1.1.1 集成电路

集成电路(integrated circuit)是一种微型电子器件或部件。采用一定的工艺,把一个电路中所需的晶体管、二极管、电阻、电容和电感等元件及布线互连一起,制作在一小块或几小块半导体晶片或介质基片上,然后封装在一个管壳内,成为具有所需电路功能的微型结构;其中所有元件在结构上已组成一个整体,使电子元件向着微小型化、低功耗和高可靠性方面迈进了一大步。

1.1.2 Tanner Tools Pro

Tanner集成电路设计软件是由Tanner Research 公司开发的基于Windows 平台的用于集成电路设计的工具软件。该软件功能十分强大,性能不亚于工作站上的cadence,而且它易学易用,包括S-Edit,T-Spice,W-Edit,L-Edit与LVS,从电路设计、分析模拟到电路布局一应俱全。其中的L-Edit版图编辑器在国内应用广泛,具有很高知名度。

L-Edit Pro是Tanner EDA软件公司所出品的一个IC设计和验证的高性能软件系统模块,具有高效率,交互式等特点,强大而且完善的功能包括从IC设计到输出,以及最后的加工服务,完全可以媲美百万美元级的IC设计软件。L-Edit Pro包含IC设计编辑器(Layout Editor)、自动布线系统(Standard Cell Place & Route)、线上设计规则检查器(DRC)、组件特性提取器(Device Extractor)、设计布局与电路netlist的比较器(LVS)、CMOS Library、Marco Library,这些模块组成了一个完整的IC设计与验证解决方案。L-Edit Pro丰富完善的功能为每个IC设计者和生产商提供了快速、易用、精确的设计系统。

1.2 国内外现状

集成电路自诞生以来,一直遵循着摩尔定律的发展。目前集成电路技术已经进入纳米时代,世界上多条90nm/12英寸的生产线已进入规模化生产;65nm的生产技术已经基本成型,采用65nm技术的产品已经出产。集成电路设计技术中,EDA工具

已成为必备基础手段,一系列设计方法学的研究成果在其中得以体现并在产品设计过程中发挥作用,IP核复用技术已被广泛应用,相关产业即将成熟,系统级芯片(SOC)的设计思想在实际应用中得到广泛应用,并处于逐渐丰富和完善之中。

在我国,近年来集成电路设计业得到了长足发展,大唐微电子、杭州士兰、珠海炬力、华大等专业设计公司已经崭露头角,年销售额已经达到几亿元人民币。其设计能力达到0.25-0.18μm,高端设计达到0.13μm。我国集成电路设计已从逆向设计过渡到正向设计,全定制的设计方法也在某些电路设计中得到体现。但值得指出的是,我国集成电路设计公司基本上都是依赖国际先进的设计工具。在EDA工具方面,华大集成电路设计中心足我国大陆唯一研发EDA工具的科研机构。该设计中心已经成功开发出全套EDA工具软件包——熊猫九天系列(Zeni系列)。虽然我国在EDA工具研发方面取得了一定的成绩,但产品仍未达到普及的水平,还不能与世界顶尖厂家在高层次、高水平上竞争。在IP核方面,我国IP核技术的发展相对落后,研发总量不大,未能形成规模市场,而且还存在着接口标准不统一、复用机制不健全以及知识产权保护力度不够等问题,加之国际大型IP公司纷纷以各种合作的方式向国内企业以低价甚至免费方式授权使用其IP核产品,对我国IP核产品的市场化形成非常大的阻力。

2 电路分析

2.1 DC-DC升压变换器

DC-DC升压变换器是一种电源管理电路,是指提供给用电设备电力供应的电源部分的电路设计。电源电路一般可分为开关电源电路,稳压电源电路,稳流电

源电路,功率电源电路,逆变电源电路,DC-DC电源电路,保护电源电路等。2.2 过压保护电路

本次进行版图设计的电路为DC-DC升压变换器芯片的过压保护电路,其电路图为

它主要由滞回电压比较器和反相器构成,其中滞回电压比较器采用CMOS差分放大器,反相器为CMOS反相器。

过压保护电路的输入信号为驱动电路的输出信号,当输出信号高于10V时,过压保护电路开始保护工作,OUT引脚输出高电平。直到输出信号降低至8.6V以下,OT叫输出低电平,保护电路停止保护工作。该电路的输出曲线具有1.4V的电压迟滞。

3 版图绘制

本设计将所有pmos管都绘制在上方的n阱中,而nmos都绘制在下方的p衬底上。在VDD周围进行n扩散,在加入有源区,这样便使整个n阱内为高电平。作相应的处理使p衬底与GND接触为低电平。这样便不需要再对每个器件的衬底进行连接,节省芯片面积,同时保证n阱与p衬底的PN结反偏。

3.1 单个器件绘制

3.1.1 M1与M2管

M1与M2管均为nmos管,宽长比均为30/2,且两管共源极故将两管绘制为共源接法。

3.1.2 M3与M4管

M3与M4管均为pmos管,宽长比均为20/5,且两管的源极都接Vdd,故将两管绘制为共源接法。

3.1.3 M5管

M5管为nmos管,宽长比为5/30。

3.1.4 M6管

M6管为pmos管,宽长比为6/10。

3.1.5 M7管

M7管为nmos管,宽长比为10/8.

3.1.6 M8-M13管

M8、M10和M9、M11和M12、M13分别为三对反相器,且它们的宽长比均为5/2。

3.1.7 M14管

M14管为pmos管,宽长比为5/2。

3.1.8 M15管

M15管为pmos管,宽长比为23/6。

3.1.9 M16管

M16管为nmos管,宽长比为19/9。

3.1.10 电阻

常用的电阻有多晶硅电阻,多晶硅2电阻、N型扩散区电阻、P型扩散区电阻、P型衬底电阻和N阱电阻。其中多晶硅2电阻、P型扩散区电阻以及P型衬底电阻的绘

制方法分别与多晶硅电阻、N 型扩散区电阻以及N 阱电阻的绘制方法类似。

本设计采用多晶硅电阻,取多晶硅电阻的方块电阻s R =1kOhm 。故可由多晶硅电阻计算公式:

W

L

R R s =可算得各电阻的宽长比。

(1)对于R1,由于R1=5kOhm,取

4

20=W L

(2)对于R2,由于R2=6.31kOhm kOhm 3.6≈,取

10

63

=W L

(3)对于R3,由于R3=0.99kOhm kOhm 1≈,取

10

10=W L

3.1.11 压焊块(pad )

对于VDD ,GND,VREF,VIN,VOUT 均绘制出相应的pad

3.2 总图

3.2.1 器件总图

3.2.1 整体图

4 仿真

4.1 前仿真

用ORCAD对原理图进行仿真,波形如图

4.2 后仿真

用L-EDIT进行版图绘制完毕后,提取网表文件,用T-SPICE进行后仿真,具体操作为:

(1)在T-SPICE中打开提取的网表文件

(2)添加ml2_125.md库

(3)按要求添加电压源Vdd和Vref和Vin ,生成语句为

Vdd Vdd GND 5

Vref Vref GND 1.25

Vin Vin GND 4

(4)对输入电压进行DC扫描,从0到15V,生成语句为

.dc lin source Vin 0 15 0.1

(5)显示DC扫描结果,输出Vout的波形,生成语句为

.print V(VOUT)

(6)重新生成一个网表,再对输入电压从15V到0进行扫描,并显示Vout波形,语句为:

.dc lin source Vin 15 0 0.1

.print V(VOUT)

(7) 在W-Edit Waveform Viewer 中的Traces选项中将两个网表文件添加到chart

中,这样便可以在一个表中显示两个波形图。

最终网表文件参见附录1,得到的仿真结果文档参见附录2。

输出波形如下

(1)正向(0到15V)

(2)负向(15V到0)

(3)将两个波形图叠加到一起,如图

由上图看出该电路版图的输出波形为一条滞回曲线,则可知,得到波形与前仿真一致,说明版图绘制正确。

5 心得体会

通过本次课程设计,我初步跨入了集成电路版图设计的大门,掌握了集成电路版图设计软件Tanner Tools Pro 工具的基本操作,并学会了如何进行后仿真。这次课

程设计是对本学期学习的《集成电路版图设计》课程的一次和好的实践与巩固,使我拥有了一次独立设计简单集成电路的宝贵经验。在这次课程设计器件,我遇到了很多问题,如对节省芯片面积的考虑不够,对提取网表文件并仿真的操作不熟悉等等,但这些问题都在老师和助教的帮助、和同学的讨论还有自己的不断探索与修改下得到解决。也正是有了这些问题,让我觉得这次课程设计我收获很大,学到了不少东西。

参考文献

廖裕评.《Tanner Pro 集成电路设计与布局实战指导》. 科学出版社.2011

PaulR.Gray.张晓林.《模拟集成电路的分析与设计》.高等教育出版社.2005

附录

附录1 网表文件

* Circuit Extracted by Tanner Research's L-Edit Version 13.00 / Extract Version 13.00 ;

* TDB File: C:\Users\Administrator\Desktop\des.tdb

* Cell: Cell0 Version 1.13

* Extract Definition File: ..\Documents\Tanner EDA\Tanner Tools v13.0\L-Edit and LVS\SPR\Lights\Layout\lights.ext

* Extract Date and Time: 07/01/2012 - 00:33

* Warning: Layers with Unassigned FRINGE Capacitance.

*

*

* NODE NAME ALIASES

* 2 = Vdd (-54 , 133.5)

* 5 = Vout (136 , -3.5)

* 8 = GND (136.5 , -172)

* 13 = Vin (-294.5 , 62.5)

* 14 = Vref (-241 , -163)

.include "D:\素材\design1\ml2_125.md"

C1 Vdd GND C=260.1f $ (-54 133.5 48 235.5)

M1 1 9 Vdd Vdd PMOS L=2u W=5u AD=30p PD=22u AS=27.5p PS=21u $ (8.5 64 10.5 69)

M2 Vout 6 Vdd Vdd PMOS L=2u W=5u AD=30p PD=22u AS=27.5p PS=21u $ (40.5 84.5 42.5 89.5)

M3 Vdd 1 6 Vdd PMOS L=2u W=5u AD=30p PD=22u AS=27.5p PS=21u $ (29.5 63.5 31.5 68.5)

M4 7 7 Vdd Vdd PMOS L=6u W=23u AD=149.5p PD=59u AS=172.5p PS=61u $ (-84.5 74.5 -78.5 97.5)

M5 10 1 3 Vdd PMOS L=2u W=5u AD=30p PD=22u AS=30p PS=22u $ (-89.5 60.5 -87.5 65.5)

M6 Vdd 12 9 Vdd PMOS L=10u W=6u AD=51p PD=29u AS=45p PS=27u $ (-8 87.5 2 93.5)

M7 12 10 Vdd Vdd PMOS L=5u W=20u AD=170p PD=57u AS=80p PS=28u $ (-37 75.5 -32 95.5)

M8 Vdd 10 10 Vdd PMOS L=5u W=20u AD=80p PD=28u AS=140p PS=54u $ (-50 75.5 -45 95.5)

R1 3 Vdd R=5k $ (-104 66.5 -100 86.5)

C2 Vin GND C=260.1f $ (-294.5 62.5 -192.5 164.5)

C3 Vout GND C=260.1f $ (136 -3.5 238 98.5)

M9 Vout 6 GND GND NMOS L=2u W=5u AD=27.5p PD=21u AS=27.5p PS=21u $ (32 -4.5 34 0.5)

M10 6 1 GND GND NMOS L=2u W=5u AD=27.5p PD=21u AS=27.5p PS=21u $ (29.5 17.5 31.5 22.5)

M11 GND 9 1 GND NMOS L=2u W=5u AD=27.5p PD=21u AS=27.5p PS=21u $ (-17 20 -15 25)

M12 12 Vref 11 GND NMOS L=2u W=30u AD=180p PD=72u AS=97.5p PS=36.5u $ (-68.5 4 -66.5 34)

M13 11 4 10 GND NMOS L=2u W=30u AD=97.5p PD=36.5u AS=180p PS=72u $ (-77 4 -75 34)

M14 GND 7 9 GND NMOS L=8u W=10u AD=60p PD=32u AS=60p PS=32u $ (-16 -1.5 -8 8.5)

M15 GND 7 11 GND NMOS L=30u W=5.5u AD=38.5p PD=25u AS=35.75p PS=24u $ (-30 -28 0 -22.5)

M16 GND 7 7 GND NMOS L=9u W=19u AD=152p PD=54u AS=142.5p PS=53u $ (-61 -37 -52 -18)

R2 Vin 4 R=6.3k $ (-106.5 -7 -85.5 44)

R3 GND 4 R=1k $ (-95 -32 -85.5 -22.5)

C4 GND GND C=260.1f $ (136.5 -172 238.5 -70)

C5 Vref GND C=260.1f $ (-241 -163 -139 -61)

Vdd Vdd GND 5

Vref Vref GND 1.25

Vin Vin GND 4

.dc lin source Vin 0 15 0.1 *负向

.dc lin source Vin 15 0 0.1 *正向

.print V(Vout)

* Total Nodes: 14

* Total Elements: 24

* Total Number of Shorted Elements not written to the SPICE file: 0

* Output Generation Elapsed Time: 0.000 sec

* Total Extract Elapsed Time: 1.482 sec

.END

附录2 仿真结果文件

T-Spice - Tanner SPICE

T-Spice - Tanner SPICE

Version 13.14

Network license

Product Release ID: T-Spice Win32 13.14.20081218.05:34:28

Copyright ?1993-2008 Tanner EDA

Opening output file "C:\Users\Administrator\Desktop\新建文件夹\Cell16.out"

Parsing "C:\Users\Administrator\Desktop\新建文件夹\Cell16.spc"

Including "D:\素材\design1\ml2_125.md"

Loaded MOSLevel2 model library, SPICE Level 2 MOSFET revision 1.0 Warning : Terminal nodes of C4 are equal, and the device will be ignored.

General options:

threads = 2

Device and node counts:

MOSFETs - 16 MOSFET geometries - 12

BJTs - 0 JFETs - 0

MESFETs - 0 Diodes - 0

Capacitors - 5 Resistors - 3

Inductors - 0 Mutual inductors - 0

Transmission lines - 0 Coupled transmission lines - 0

Voltage sources - 3 Current sources - 0

VCVS - 0 VCCS - 0

CCVS - 0 CCCS - 0

V-control switch - 0 I-control switch - 0

Macro devices - 0 External C model instances - 0

HDL devices - 0

Subcircuits - 0 Subcircuit instances - 0

Independent nodes - 10 Boundary nodes - 4 Total nodes - 14

*** 1 WARNING MESSAGE GENERATED DURING SETUP

Parsing 0.04 seconds

DC Analysis 0.23 seconds

Overhead 0.52 seconds

-----------------------------------------

Total 0.79 seconds

Simulation completed with 1 Warning

集成电路版图设计报告

北京工业大学集成电路板图设计报告 姓名:张靖维 学号:12023224 2015年 6 月 1日

目录 目录 (1) 1 绪论 (2) 1.1 介绍 (2) 1.1.1 集成电路的发展现状 (2) 1.1.2 集成电路设计流程及数字集成电路设计流程 (2) 1.1.3 CAD发展现状 (3) 2 电路设计 (4) 2.1 运算放大器电路 (4) 2.1.1 工作原理 (4) 2.1.2 电路设计 (4) 2.2 D触发器电路 (12) 2.2.1 反相器 (12) 2.2.2 传输门 (12) 2.2.3 与非门 (13) 2.2.4 D触发器 (14) 3 版图设计 (15) 3.1 运算放大器 (15) 3.1.1 运算放大器版图设计 (15) 3.2 D触发器 (16) 3.2.1 反相器 (16) 3.2.2 传输门 (17) 3.2.3 与非门 (17) 3.2.4 D触发器 (18) 4 总结与体会 (19)

1 绪论 随着晶体管的出现,集成电路随之产生,并极大地降低了电路的尺寸和成本。而由于追求集成度的提高,渐渐设计者不得不利用CAD工具设计集成电路的版图,这样大大提高了工作效率。在此单元中,我将介绍集成电路及CAD发展现状,本次课设所用EDA工具的简介以及集成电路设计流程等相关内容。 1.1介绍 1.1.1集成电路的发展现状 2014年,在国家一系列政策密集出台的环境下,在国内市场强劲需求的推动下,我国集成电路产业整体保持平稳较快增长,开始迎来发展的加速期。随着产业投入加大、技术突破与规模积累,在可以预见的未来,集成电路产业将成为支撑自主可控信息产业的核心力量,成为推动两化深度融合的重要基础。、 1.1.2集成电路设计流程及数字集成电路设计流程 集成电路设计的流程一般先要进行软硬件划分,将设计基本分为两部分:芯片硬件设计和软件协同设计。芯片硬件设计包括:功能设计阶段,设计描述和行为级验证,逻辑综合,门级验证(Gate-Level Netlist Verification),布局和布线。模拟集成电路设计的一般过程:电路设计,依据电路功能完成电路的设计;.前仿真,电路功能的仿真,包括功耗,电流,电压,温度,压摆幅,输入输出特性等参数的仿真;版图设计(Layout),依据所设计的电路画版图;后仿真,对所画的版图进行仿真,并与前仿真比较,若达不到要求需修改或重新设

集成电路版图设计师职业标准(试行)

集成电路版图设计师职业标准(试行) 一.、职业概况 1.1 职业名称集成电路版图设计师 1.2 职业定义 通过EDA 设计工具,进行集成电路后端的版图设计和验证,最终产生送交供集成电路制造用的GDSII 数据。 1.3 职业等级本职业共设四个等级,分别是版图设计员(职业资格四级)、助理版图设计师(职业资格三级)、版图设计师(职业资格二级)、高级版图设计师(职业资格一级)。 1.4 职业环境条件室内、常温 1.5 职业能力特征具有良好的电脑使用基础与较强的外语阅读能力;具备一定的半导体微电子基础理论。具有很强的学习能力。 1.6 基本文化程度理工科高等专科学历。 1.7 培训要求 1.7.1 培训期限全日制职业学校教育:根据其培养目标和教学计划确定。晋级培训 期限:版图设计员不少于240 标准学时;助理版图设计师不少于 240 标准学时;版图设计师不少于200 标准学时;高级版图设计师不少于180标准学时。 1.8 鉴定要求 1.8.1 适用对象从事或准备从事集成电路版图设计的人员。 1.8.2 申报条件以上各等级申报条件均参照“关于职业技能鉴定申报条件的暂行规 定” 1.8.3 鉴定方式分为理论知识考试和技能操作考核。技能操作考核采用上机实际操作 方式, 由3- 5 名考评员组成考评小组,根据考生现场操作表现及实际操作输出结果,按统一标准评定得分。两项鉴定均采用100分制,皆达60 分及以上者为合格。 1.8.4 考评人员与考生 理论知识考试:平均15 名考生配一名考评员。技能操作考核:平均5-8 名考生配 1 名考评员。 1.8.5 鉴定时间 理论知识考试:设计员、助理设计师90 分钟,设计师、高级设计师120分钟。 技能操作考核:设计员、助理设计师90 分钟,设计师、高级设计师120分钟。 1.8.6 鉴定场地设备用于理论知识考试的标准教室;用于操作技能考试的场所:具有EDA 设计平台和网络教学系统等设备和软件,不少于20 个考位。

模拟集成电路版图设计和绘制

电子科技大学 实验报告 学生姓名:连亚涛/王俊颖学号:2011031010032/0007指导教师:王向展实验地点:微固楼606实验时间:2014.6. 一、实验室名称:微电子技术实验室 二、实验项目名称:模拟集成电路版图设计和绘制 三、实验学时:4 四、实验原理 参照实验指导书。 五、实验目的 本实验是基于微电子技术应用背景和《集成电路原理》课程设置及其特点而设置,为IC设计性实验。其目的在于: 根据实验任务要求,综合运用课程所学知识自主完成相应的模拟集成电路版图设计, 掌握基本的IC版图布局布线技巧。 学习并掌握国际流行的EDA仿真软件Cadence的使用方法,并进行版图的的设计。 六、实验内容 1、UNIX操作系统常用命令的使用,Cadence EDA仿真环境的调用。 2、根据设计指标要求,自主完成版图设计,并掌握布局布线的基本技巧。 七、实验仪器设备 (1)工作站或微机终端一台

八、实验步骤 1、根据实验指导书熟悉UNIX操作系统常用命令的使用,掌握CadenceEDA 仿真环境的调用。 2、根据设计指标要求,设计出如下图所示的运算放大器电路版图,过程中应注意设计规则。 九、实验数据及结果分析: 1、通过本次实验掌握了UNIX操作系统常用命令的使用,Cadence EDA仿真环境的调用。达到了实验目的。 2、根据设计指标要求,设计出运算放大器模拟集成电路版图。 (备注:小组共同完成) 十、实验结论: 通过这次实验,学习并掌握国际流行的EDA仿真软件Cadence的使用方法,完成了运算放大器集成电路版图的设计,其难点是版图的布局布线和设计规则的理解。 十一、总结及心得体会: 2学会了cadence在linux下的使用,在回去安装Ubuntu的过程中发生了很多错误,有了一定的提高,让我了解到使用免费破解的专业软件的不易。其次,cadence使用过程中,有很多技巧值得认真学习,如左手键盘右手鼠标操作,以及先画基本的接触孔,再画mos管,再用已有的Mos管拼接出其他宽长比的方法。同时,学会了如何提高画图效率的“偷懒”的办法。 当然,还有很多的不足,比如有些地方容易忽略版图的规则没有全局考量,造成重复赶工。在一些技巧上,如画不规则多边形保护环的方法还是太笨,没有用聪明的方法(多次shift+c)。

集成电路版图设计论文

集成电路版图设计 班级12级微电子姓名陈仁浩学号2012221105240013 摘要:介绍了集成电路版图设计的各个环节及设计过程中需注意的问题,然后将IC版图设计与PCB版图设计进行对比,分析两者的差异。最后介绍了集成电路版图设计师这一职业,加深对该行业的认识。 关键词: 集成电路版图设计 引言: 集成电路版图设计是实现集成电路制造所必不可少的设计环节,它不仅关系到集成电路的功能是否正确,而且也会极大程度地影响集成电路的性能、成本与功耗。近年来迅速发展的计算机、通信、嵌入式或便携式设备中集成电路的高性能低功耗运行都离不开集成电路掩模版图的精心设计。一个优秀的掩模版图设计者对于开发超性能的集成电路是极其关键的。 一、集成电路版图设计的过程 集成电路设计的流程:系统设计、逻辑设计、电路设计(包括:布局布线验证)、版图设计版图后仿真(加上寄生负载后检查设计是否能够正常工作)。集成电路版图设计是集成电路从电路拓扑到电路芯片的一个重要的设计过程,它需要设计者具有电路及电子元件的工作原理与工艺制造方面的基础知识,还需要设计者熟练运用绘图软件对电路进行合理的布局规划,设计出最大程度体现高性能、低功耗、低成本、能实际可靠工作的芯片版图。集成电路版图设计包括数字电路、模拟电路、标准单元、高频电路、双极型和射频集成电路等的版图设计。具体的过程为: 1、画版图之前,应与IC 工程师建立良好沟通在画版图之前,应该向电路设计者了解PAD 摆放的顺序及位置,了解版图的最终面积是多少。在电路当中,哪些功能块之间要放在比较近的位置。哪些器件需要良好的匹配。了解该芯片的电源线和地线一共有几组,每组之间各自是如何分布在版图上的? IC 工程师要求的工作进度与自己预估的进度有哪些出入? 2、全局设计:这个布局图应该和功能框图或电路图大体一致,然后根据模块的面积大小进行调整。布局设计的另一个重要的任务是焊盘的布局。焊盘的安排要便于内部信号的连接,要尽量节省芯片面积以减少制作成本。焊盘的布局还应该便于测试,特别是晶上测试。 3、分层设计:按照电路功能划分整个电路,对每个功能块进行再划分,每一个模块对应一个单元。从最小模块开始到完成整个电路的版图设计,设计者需要建立多个单元。这一步就是自上向下的设计。 4、版图的检查: (1)Design Rules Checker 运行DRC,DRC 有识别能力,能够进行复杂的识别工作,在生成最终送交的图形之前进行检查。程序就按照规则检查文件运行,发现错误时,会在错误的地方做出标记,并且做出解释。

集成电路版图设计笔试面试大全

集成电路版图设计笔试面试大全 1. calibre语句 2. 对电路是否了解。似乎这个非常关心。 3. 使用的工具。 , 熟练应用UNIX操作系统和L_edit,Calibre, Cadence, Virtuoso, Dracula 拽可乐(DIVA),等软件进行IC版图 绘制和DRC,LVS,ERC等后端验证 4. 做过哪些模块 其中主要负责的有Amplifier,Comparator,CPM,Bandgap,Accurate reference,Oscillator,Integrated Power MOS,LDO blocks 和Pad,ESD cells以及top的整体布局连接 5. 是否用过双阱工艺。 工艺流程见版图资料 在高阻衬底上同时形成较高的杂质浓度的P阱和N阱,NMOS、PMOS分别做在这两个阱中,这样可以独立调节两种沟道MOS管的参数,使CMOS电路达到最优特性,且两种器件间距离也因采用独立的阱而减小,以适合于高密度集成,但是工艺较复杂。 制作MOS管时,若采用离子注入,需要淀积Si3N4,SiO2不能阻挡离子注入,进行调沟或调节开启电压时,都可以用SiO2层进行注入。 双阱CMOS采用原始材料是在P+衬底(低电阻率)上外延一层轻掺杂的外延层P-(高电阻率)防止latch-up效应(因为低电阻率的衬底可以收集衬底电流)。 N阱、P阱之间无space。

6. 你认为如何能做好一个版图,或者做一个好版图需要注意些什么需要很仔细的回答~答:一,对于任何成功的模拟版图设计来说,都必须仔细地注意版图设计的floorplan,一般floorplan 由设计和应用工程师给出,但也应该考虑到版图工程师的布线问题,加以讨论调整。总体原则是 模拟电路应该以模拟信号对噪声的敏感度来分类。例如,低电平信号节点或高阻抗节点,它们与输入信号典型相关,因此认为它们对噪声的敏感度很高。这些敏感信号应被紧密地屏蔽保护起来,尤其是与数字输出缓冲器隔离。高摆幅的模拟电路,例如比较器和输出缓冲放大器应放置在敏感模拟电路和数字电路之间。数字电路应以速度和功能来分类。显而易见,因为数字输出缓冲器通常在高速时驱动电容负载,所以应使它离敏感模拟信号最远。其次,速度较低的逻辑电路位于敏感模拟电路和缓冲输出之间。注意到敏感模拟电路是尽可能远离数字缓冲输出,并且最不敏感的模拟电路与噪声最小的数字电路邻近。 芯片布局时具体需考虑的问题,如在进行系统整体版图布局时,要充分考虑模块之间的走线,避免时钟信号线对单元以及内部信号的干扰。模块间摆放时要配合压焊点的分布,另外对时钟布线要充分考虑时延,不同的时钟信号布线应尽量一致,以保证时钟之间的同步性问题。而信号的走线要完全对称以克服外界干扰。 二(电源线和地线的布局问题

集成电路版图设计软件LASI使用指南

集成电路版图设计软件----Lasi操作指南 梁竹关 云南大学信息学院电子工程系,zhgliang@https://www.wendangku.net/doc/6916081546.html, 1 概述 Lasi是一个集成电路版图设计的软件,可以应用它来画出集成电路原理图、设计集成电路的版图。该软件支持层次设计的思想,上层设计目标可以调用下层设计好的对象,通过一级级(RANK)调用,最终设计出庞大复杂的集成电路版图。 一、软件功能模块 1、设置 (1)不同的图案代表不同物质层 (2)几何尺寸设置 2、输入图案 3、编辑图案 4、设计规则检查(DRC)检查 5、电气规则检查(ERC)LVS 6抽取电路及参数(用于后仿真) 二、下载与安装 进入网址https://www.wendangku.net/doc/6916081546.html,/,发现LASI,如图2.1所示,点击它。 图2.1 LASI下载地址 下载后,双击图标LASI进行安装,如图2.2所示。

接着根据提示安装。 图2.3 安装步骤之一 安装成功后,在安装路径下新建一个子目录,并把图2.4所示的选项Copy到该子目录下, 并把Rules文件夹中的文件copy到该子目录下。

图2.4 copy文件三、按键与功能 (一)屏幕上方按键 1、视图 2、编辑 3、系统功能 (二)屏幕右方按键 四、图形文字输入与编辑 (一)图形文字输入

图3.1 Lasi及Attr的界面 如上图3.1所示Menu1和Menu2(按鼠标右键可以在Menu1和Menu2之间选择)提供图形文字输入及编辑等的按键。 1、用Attr按键设置表示器件和互联线的图形 设计集成电路版图时采用一些不同颜色、不同尺寸、不同填充线条的方框代表管子和边线,利用Attr选项可以改变各个表示层的颜色、大小、填充线条。如图3.1所示,CONT表示管子与METAL 1的连接孔。当打开Attr时,选中CONT后,用color选项改变表示CONT的方框颜色,用Fill改变CONT的填充线条类型,用Dash选项改变CONT方框边的线条类型。 PWEL表示P阱工艺中的P阱 NWEL表示N阱工艺中的N阱 ACTV表示有源区 PSEL表示P掺杂区 NSEL表示N掺杂区 POL1表示多晶硅,用做栅极; MET1表示第一层金属 VIA1表示第一层金属与第二层金属之间的连接孔 MET2表示第二层金属 假如Attr界面中的每一层物质层出现的都是0值,如下图3.2所示,用import选项把Lasi 包中给的版图或电路图拉到Lasi程序运行窗口中来就可以。

集成电路版图设计调查报告

关于IC集成电路版图设计的调查报告 IC版图设计是指将前端设计产生的门级网表通过EDA设计工具进行布局布线和进行物理验证并最终产生供制造用的GDSII数据的过程,简单来说,是将所设计的电路转化为图形描述格式,即设计工艺中所需要的各种掩模板,而掩模板上的几何图形包括如下几层:n阱、有源区、多晶硅、n+和p+注入、接触孔以及金属层。 一. 版图设计流程 集成电路从60年代开始,经历了小规模集成,中规模集成,大规模集成,到目前的超大规模集成。单个芯片上已经可以制作含几百万个晶体管的一个完整的数字系统或数模混合的电子系统。在整个设计过程中,版图(layout)设计或者称作物理设计(physical design)是其中重要的一环。他是把每个原件的电路表示转换成集合表示,同时,元件间连接的线网也被转换成几何连线图形。概括说来,对于复杂的版图设计,一般分成若干个子步骤进行: 1.模块划分。为了将处理问题的规模缩小,通常把整个电路划分成若干个模块。版图规划和布局是为了每个模块和整个芯片选择一个好的布图方案。 2.布局布线。布局图应该和功能框图或者电路图大体一致,然后根据各个模块的面积大小进行调整,接着完成模块间的互连,并进一步优化布线结果。 3.版图压缩。压缩是布线完成后的优化处理过程,试图进一步减小芯片的占用面积。 4.版图检查。版图检查主要包括三个部分:1. Design Rules Checker(DR C)。DRC有识别能力,能够进行复杂的识别工作,在生成最终送交的图形之前进行检查,程序就会按照规则检查文件运行,发现错误时,会在错误的地方做出标记与解释。2. Electrical Rules Checker(ERC),它是用来检查线路短路,线路开路以及floating结点。ERC检查短路错误后,会将错误提示局限在最短的连接通路上。3. Layout Versus Schematic(LVS),LVS比较IC版图和原理图,报告版图连接和原理图的不一致,并进行修改直到版图与电路图完全一致为止。 5.版图修改。此时的工作主要包括检查Label是否正确,label所选的lay er是否正确;Power & Ground连接是否有问题,得到的files是否确实可靠,检查netlist中器件类型的命名是否规范等。

集成电路版图技巧总结

集成电路版图技巧总结 1、对敏感线的处理对敏感线来说,至少要做到的是在它的走线过程中尽量没有其他走线和它交叉。因为走线上的信号必然会带来噪声,交错纠缠的走线会影响敏感线的信号。 对于要求比较高的敏感线,则需要做屏蔽。具体的方法是,在它的上下左右都连金属线,这些线接地。比如我用M3做敏感线,则上下用M2和M4重叠一层,左右用M3走,这些线均接地。等于把它像电缆一样包起来。 2、匹配问题的解决电路中如果需要匹配,则要考虑对称性问题。比如1:8的匹配,则可以做成33的矩阵,“1”的放在正中间,“8”的放在四周。这样就是中心对称。如果是2:5的匹配,则可以安排成AABABAA的矩阵。 需要匹配和对称的电路器件,摆放方向必须一致。周围环境尽量一致。 3、噪声问题的处理噪声问题处理的最常用方法是在器件周围加保护环。N mos管子做在衬底上因此周围的guardring是Pdiff,在版图上是一层PPLUS,上面加一层DIFF,用CONTACT连M1。Pdiff接低电位。Pmos管子做在NWELL里面因此周围的GUARDING是Ndiff,在版图上先一层NPLUS,上面加一层DIFF,用CONTACT连M1。Ndiff接高电位。在一个模块周围为了和其他模块隔离加的保护环,用一圈NWELL,里面加NDIFF,接高电位。

电阻看类型而定,做在P衬底上的周围接PDIFF型guarding接地;做在NWELL里面的则周围接NDIFF型guarding接高电位。各种器件,包括管子,电容,电感,电阻都要接体电位。如果不是RF型的MOS管,则一般尽量一排N管一排P管排列,每排或者一堆靠近的同类型管子做一圈GUARDING,在P管和N管之间有走线不方便打孔的可以空出来不打。 4、版图对称性当电路需要对称的时候,需要从走线复杂度,面积等方面综合考虑。常见的对称实现方式: 一般的,画好一半,折到另一半去,复制实现两边的对称。 如果对称性要求高的,可以用质心对称的方式,把管子拆分成两个,四个甚至更多。 如把一个管子拆成两个可以AB BA的方式如果有四个管子,可以各拆成三个,用ABCDABCDABCD的方式五、布局布线布局布线是一个全局问题。在画较大的电路时候是很重要的。首先确定各模块的位置,在确定位置的时候需要考虑的问题主要有:各输入输出之间的连线最短,最方便;各模块接出去连PAD的各端口方便;高频线距离尽量短;输入输出之间相隔比较远等。这些问题需要在着手画各模块之前先有个安排。在画好各模块后摆放时会做调整,但大局不变。连线一般的规则是单数层金属和双数层金属垂直,比如一三五层连水平;二四六层连垂直。但这样的主要目的是各层能方便走线,排得密集。所以也不是死规则,在布线较稀疏的情况下可以做适量变通。在布线时最重要的问题

集成电路版图设计-反相器-传输门

集成电路版图设计 实验报告 学院:电气与控制工程学院班级: XXXXXXXXXX 学号:XXXXXXXX 姓名:XXXX 完成日期:2015年1月22日

一、实验要求 1、掌握Linux常用命令(cd、ls、pwd等)。 (1)cd命令。用于切换子目录。输入cd并在后面跟一个路径名,就可以直接进入到另一个子目录中;cd..返回根目录;cd返回主目录。(2)ls命令。用于列出当前子目录下所有内容清单。 (3)pwd命令。用于显示当前所在位置。 2、掌握集成电路设计流程。 模拟集成电路设计的一般过程: (1)电路设计。依据电路功能完成电路的设计。 (2)前仿真。电路功能的仿真,包括功耗,电流,电压,温度,压摆幅,输入输出特性等参数的仿真。 (3)版图设计(Layout)。依据所设计的电路画版图。一般使用Cadence软件。 (4)后仿真。对所画的版图进行仿真,并与前仿真比较,若达不到要求需修改或重新设计版图。 (5)后续处理。将版图文件生成GDSII文件交予Foundry流片。 3、掌握Cadence软件的使用 (1)使用Cadence SchematicEditor绘制原理图。 (2)由Schematic产生symbol。 (3)在测试电路中使用AnalogEnvironment工具进行功能测试。 (4)使用Cadence Layout Editor根据原理图绘制相应版图,以

0.6umCMOS设计规则为准。 (5)对所设计的版图进行DRC验证,查错并修改。 以PMOS为例,部分设计规则如下:(um) N-Well包含P+Active的宽度:1.8 MOS管沟道最小宽度:0.75最小长度:0.6 Active区伸出栅极Ploy的最小延伸长度:0.5 Contact最小尺寸:0.6*0.6 Contact与Contact之间的最小间距:0.7 Active包最小尺寸Contact的最小宽度:0.4 非最小尺寸Contac t的最小宽度:0.6 Active上的Contact距栅极Poly1的最小距离:0.6 Metal1包最小尺寸的Contact:0.3 Metal1与Metal1之间的最小间距:0.8

集成电路版图设计报告

集成电路版图设计实验报告 班级:微电子1302班 学号:1306090226 姓名:李根 日期:2016年1月10日

一:实验目的: 熟悉IC设计软件Cadence Layout Editor的使用方法,掌握集成电路原理图设计,原理图仿真以及版图设计的流程方法以及技巧。 二:实验内容 1.Linux常用命令及其经典文本编辑器vi的使用 ①:了解Linux操作系统的特点。 ②:熟练操作如何登录、退出以及关机。 ③:学习Linux常用的软件以及目录命令。 ④:熟悉经典编辑器vi的基本常用操作。 2.CMOS反相器的设计和分析 ①:进行cmos反相器的原理图设计。 ②:进行cmos反相器的原理图仿真。 ③:进行cmos反相器的版图设计。 3.CMOS与非门的设计和分析 ①:进行cmos与非门的原理图设计。 ②:进行cmos与非门的原理图仿真。 ③:进行cmos与非门的版图设计 4.CMOS D触发器的设计和分析 ①:进行cmosD触发器的原理图设计。 ②:进行cmosD触发器的原理图仿真。 ③:进行cmosD触发器的版图设计。 5.对以上的学习进行总结 ①:总结收获学习到的东西。 ②:总结存在的不足之处。 ③:展望集成电路版图设计的未来。 三:实验步骤(CMOS反相器) 1.CMOS反相器原理图设计 内容:首先建立自己的Library,建立一个原理图的cell,其次进行原理图通过调用库里面的器件来绘制原理图,然后进行检错及修正,具体操作如下:在Terminal视窗下键入icfb,打开CIW; Tool→Library Manager; File→New→Library; 在name栏填上Library名称; 选择Compile a new techfile; 键入~/0.6um.tf; File→New→Cell view,在cell name键入inv,tool选择schematic,单击OK; 点击Schematic视窗上的指令集Add→Instance,出现Add Instance视窗; 通过Browse analogLib库将要用到的元件添加进来;

集成电路版图设计(反向提取与正向设计)

集成电路设计综合实验报告 班级:微电子学1201班 姓名: 学号: 日期:2016年元月13日

一.实验目的 1、培养从版图提取电路的能力 2、学习版图设计的方法和技巧 3、复习和巩固基本的数字单元电路设计 4、学习并掌握集成电路设计流程 二.实验内容 1. 反向提取给定电路模块(如下图所示),要求画出电路原理图,分析出其所完成的逻 辑功能,并进行仿真验证;再画出该电路的版图,完成DRC验证。 2. 设计一个CMOS结构的二选一选择器。 (1)根据二选一选择器功能,分析其逻辑关系。 (2)根据其逻辑关系,构建CMOS结构的电路图。 (3)利用EDA工具画出其相应版图。 (4)利用几何设计规则文件进行在线DRC验证并修改版图。 三.实验原理 1. 反向提取给定电路模块 方法一:直接将版图整体提取(如下图)。其缺点:过程繁杂,所提取的电路不够直观,

不易很快分析出其电路原理及实现功能。 直接提取的整体电路结构图 方法二:将版图作模块化提取,所提取的各个模块再生成symbol,最后将symbol按版图连接方式组合成完整电路结构(如下图)。其优点:使电路结构更简洁 直观、结构严谨、层次清晰,更易于分析其原理及所实现的功能。 CMOS反相器模块CMOS反相器的symbol CMOS传输门模块 CMOS传输门的symbol

CMOS三态门模块 CMOS三态门的symbol CMOS与非门模块 CMOS与非门的symbol 各模块symbol按版图连接方式组合而成的整体电路 经分析可知,其为一个带使能端的D锁存器,逻辑功能如下: ①当A=1,CP=0时,Q=D,Q—=; ②当A=1,CP=1时,Q、Q—保持;

集成电路基础工艺和版图设计测试试卷

集成电路基础工艺和版图设计测试试卷 (考试时间:60分钟,总分100分) 第一部分、填空题(共30分。每空2分) 1、NMOS是利用电子来传输电信号的金属半导体;PMOS是利用空穴来传输电信号的金属半导体。 2、集成电路即“IC”,俗称芯片,按功能不同可分为数字集成电路和模拟集成电路,按导电类型不同可分为 双极型集成电路和单极型集成电路,前者频率特性好,但功耗较大,而且制作工艺复杂,不利于大规模集成;后者工作速度低,但是输入阻抗高、功耗小、制作工艺简单、易于大规模集成。 3、金属(metal)—氧化物(oxid)—半导体(semiconductor)场效应晶体管即MOS管,是一个四端有源器件,其四端分别是栅 极、源极、漏极、背栅。 4、集成电路设计分为全定制设计方法和半定制设计方法,其中全定制设计方法又分为基于门阵列和标准单元 的设计方法,芯片利用率最低的是基于门阵列的设计方法。 第二部分、不定项选择题(共45分。每题3分,多选,错选不得分,少选得1分) 1、在CMOS集成电路中,以下属于常用电容类型的有(ABCD) A、MOS电容 B、双层多晶硅电容 C、金属多晶硅电容 D、金属—金属电容 2、在CMOS集成电路中,以下属于常用电阻类型的有(ABCD) A、源漏扩散电阻 B、阱扩散电阻 C、沟道电阻 D、多晶硅电阻 3、以下属于无源器件的是(CD ) A、MOS晶体管 B、BJT晶体管 C、POL Y电阻 D、MIM电容 4、与芯片成本相关的是(ABC) A、晶圆上功能完好的芯片数 B、晶圆成本 C、芯片的成品率 D、以上都不是 5、通孔的作用是(AB ) A、连接相邻的不同金属层 B、使跳线成为可能 C、连接第一层金属和有源区 D、连接第一层金属和衬底 6、IC版图的可靠性设计主要体现在(ABC)等方面,避免器件出现毁灭性失效而影响良率。 A、天线效应 B、闩锁(Latch up) C、ESD(静电泄放)保护 D、工艺角(process corner)分析 7、减小晶体管尺寸可以有效提高数字集成电路的性能,其原因是(AB) A、寄生电容减小,增加开关速度 B、门延时和功耗乘积减小 C、高阶物理效应减少 D、门翻转电流减小 8、一般在版图设计中可能要对电源线等非常宽的金属线进行宽金属开槽,主要是抑制热效应对芯片的损害。下面哪些做法符合宽金属开槽的基本规则?(ABCD) A、开槽的拐角处呈45度角,减轻大电流密度导致的压力 B、把很宽的金属线分成几个宽度小于规则最小宽度的金属线 C、开槽的放置应该总是与电流的方向一致 D、在拐角、T型结构和电源PAD区域开槽之前要分析电流流向 9、以下版图的图层中与工艺制造中出现的外延层可能直接相接触的是(AB)。 A、AA(active area) B、NW(N-Well) C、POLY D、METAL1

福州大学集成电路版图设计实验报告

福州大学物信学院 《集成电路版图设计》 实验报告 姓名:席高照 学号:111000833 系别:物理与信息工程 专业:微电子学 年级:2010 指导老师:江浩

一、实验目的 1.掌握版图设计的基本理论。 2.掌握版图设计的常用技巧。 3.掌握定制集成电路的设计方法和流程。 4.熟悉Cadence Virtuoso Layout Edit软件的应用 5.学会用Cadence软件设计版图、版图的验证以及后仿真 6.熟悉Cadence软件和版图设计流程,减少版图设计过程中出现的错误。 二、实验要求 1.根据所提供的反相器电路和CMOS放大器的电路依据版图设计的规则绘制电路的版图,同时注意CMOS查分放大器电路的对称性以及电流密度(通过该电路的电流可能会达到5mA) 2.所设计的版图要通过DRC、LVS检测 三、有关于版图设计的基础知识 首先,设计版图的基础便是电路的基本原理,以及电路的工作特性,硅加工工艺的基础、以及通用版图的设计流程,之后要根据不同的工艺对应不同的设计规则,一般来说通用的版图设计流程为①制定版图规划记住要制定可能会被遗忘的特殊要求清单②设计实现考虑特殊要求及如何布线创建组元并对其进行布局③版图验证执行基于计算机的检查和目视检查,进行校正工作④最终步骤工程核查以及版图核查版图参数提取与后仿真 完成这些之后需要特别注意的是寄生参数噪声以及布局等的影响,具体是电路而定,在下面的实验步骤中会体现到这一点。 四、实验步骤 I.反相器部分: 反相器原理图:

反相器的基本原理:CMOS反相器由PMOS和NMOS构成,当输入高电平时,NMOS导通,输出低电平,当输入低电平时,PMOS导通,输出高电平。 注意事项: (1)画成插齿形状,增大了宽长比,可以提高电路速度 (2)尽可能使版图面积最小。面积越小,速度越高,功耗越小。 (3)尽可能减少寄生电容和寄生电阻。尽可能增加接触孔的数目可以减小接触电阻。(4)尽可能减少串扰,电荷分享。做好信号隔离。 反相器的版图: 原理图电路设计: 整体版图:

集成电路设计导论

集成电路设计与硅设计链概述 中关村益华软件技术学院陈春章艾霞李青青 摘要:当代计算机、电子通讯和各种多媒体技术需求的迅速发展,使得集成电路的设计规模已从几个晶体管发展到今天千万门的逻辑电路的设计,设计的复杂性也与日剧增,设计分工也渐趋明确。过去的五十年,集成电路产业经历了一次次的工艺技术革命和设计方法学的演变,逐渐形成了较为成熟的产业结构。以ASIC与SoC数字集成电路为例,芯片的设计往往依赖于IP厂商,晶圆生产商,设计库提供商及 EDA厂商的相互合作配合才能实现,本文拟对这样的合作配合模式-- 集成电路硅设计链和它的发展特点作一介绍。 IC Design and Silicon Design Chain Abstract: The demand and their rapid development of computers, electronic communication, and variety consumer & multimedia products have led to the IC design sizes from a few tens of transistors to one hundred million gates. The IC design itself has become more complex, the classification of design methods is becoming clearer. Due to the advancement of process technology and design methodology in the past half centuries, the infrastructure of IC industry has become mature. For successful design of an ASIC/SoC chip, it may rely on the close collaboration between the foundry, the library vendor, the IP provider and the EDA support. This short article introduces such collaboration model, namely, the silicon design chain and its evolving features. 1. IC设计概述 集成电路(IC)的发展从小规模集成电路(晶体管级),中、大规模集成电路(LSI)设计,到含几十万门逻辑电路的超大规模集成电路(VLSI)设计,直至当代数百万至数千万门逻辑电路的ASIC或SoC设计。集成电路设计也逐渐演变成集成系统设计。IC规模的增大,速度的提高都是建立在工艺进步的基础之上,制造工艺从微米级快速发展到亚微米级(sub-micron,即< 1 um)、深亚微米级(deep sub-micron, DSM),而今已实现了65纳米(nm)制造工艺及产品的实现。20世纪末先进的0.25um工艺到了21世纪将会逐渐被认为是过时的技术。由于复杂的IC从设计到实现会滞后于工艺的发展,所以工程技术人员奋力于研究先进的设计工具、设计平台和设计方法,尤其注重于与晶圆生产商,设计库提供商,IP厂商及EDA厂商的合作配合。 集成电路设计按照其处理信号的特征可分为数字集成电路、模拟集成电路和数模混合集成电路设计。数字集成电路首选代表为CPU芯片和当代的ASIC/SoC芯片等,数模电路则主要用于通讯和无线传输电路,模拟电路主要应用于传输接口部分以及射频电路。 本文系根据作者于2004年9月28日为北京工业大学电子信息与控制工程学院研究生演讲整理扩充而成。

集成电路版图实习报告

青 岛 科 技 大 学 本 科 毕 业 实 习 (报 告) 实习地点:__________________________________ 实习名称:__________________________________ 指导教师__________________________ 学生姓名__________________________ 学生学号__________________________ _______________________________院(部)____________________________专业________________班 ___2011___年 ___月 _19_日 0708040207 信息学院 集成电路设计与集成系统 072 3 青软实训 集成电路版图设计

尺寸的上限以及掩膜版之间的最大套准偏差,一般等于栅长度的一半。它的优点是版图设计独立于工艺和实际尺寸。2、以微米为单位也叫做“自由格式”:每个尺寸之间没有必然的比例关系,以提高每一尺寸的合理度。目前一般双极集成电路的研制和生产,通常采用这类设计规则。在这类规则中,每个被规定的尺寸之间,没有必然的比例关系。这种方法的好处是各尺寸可相对独立地选择,可以把每个尺寸定得更合理,所以电路性能好,芯片尺寸小。缺点是对于一个设计级别,就要有一整套数字,而不能按比例放大、缩小。 在本次实习中,使用的设计过则是Winbond的HiCMOS 0.5um 3.3V LOGIC DESIGN RULES, 其process route 为C054FI.。 3、集成电路版图设计工具 著名的提供IC 版图设计工具的公司有Cadence、、Synopsys、Magma、Mentor。Synopsys 的优势在于其逻辑综合工具,而Cadence和Mentor则能够在设计的各个层次提供全套的开发工具。在晶体管级和基本门级提供图形输入工具的有Cadence的composer、Viewlogic公司的viewdraw。专用的IC综合工具有synopysys的design compiler和Behavia的compiler,Synopsys的synplify ASIC,Cadence的synergy。随着IC集成度的日益提高,线宽的日益缩小,晶体管的模型日益复杂,电路仿真变得更加重要,Spice是著名的模拟电路仿真工具。此外,还有一些IC版图工具,如自动布局布线(Auto plane & route)工具、版图输入工具、物理验证工具(Physical validate)和参数提取(LVS)工具。一些公司如Advantage、Dsp builder、Sopc builder、System generator等还推出了一些开发套件和专用的开发工具。在本次集成电路版图设计实习中,使用的版图设计工具是Cadence的virtuoso工具和calibre (版图验证)工具、lvs工具等。另外tanner的版图工具也是业界比较常用的。 三、集成电路版图设计的实习内容 1、反相器版图设计 (1) 反相器的工作原理: CMOS反相器由一个P沟道增强型MOS管和一个N沟道增强型MOS管串联组成。通常P沟道MOS管作为负载管,N沟道MOS管作为输入管。两个MOS管的开启电压V GS(th)P<0,V GS(th)N >0,通常为了保证正常工作,要求V DD>|V GS(th)P|+V GS(th)N。若输入I为低电平(如0V),则负载管PMOS导通,输入管NMOS截止,输出电压接近V DD;若输入I为高电平(如V DD),则输入管NMOS导通,负载管PMOS截止,输出电压接近0V。 CMOS反相器的电路原理图 CMOS反相器的版图

集成电路版图设计报告

集成电路CAD 课程设计报告 一.设计目的: 1.通过本次实验,熟悉软件的特点并掌握使用软件的流程和设计方法; 2.了解集成电路工艺的制作流程、简单集成器件的工艺步骤、集成器件区域的层 次关系,与此同时进一步了解集成电路版图设计的λ准则以及各个图层的含义和设计规则; 3.掌握数字电路的基本单元CMOS 的版图,并利用CMOS 的版图设计简单的门电路, 然后对其进行基本的DRC 检查; 4. 掌握C)B (A F +?=的掩模板设计与绘制。 二.设计原理: 1、版图设计的目标: 版图 (layout ) 是集成电路从设计走向制造的桥梁,它包含了集成电路尺寸、 各层拓扑定义等器件相关的物理信息数据。版图设计是创建工程制图(网表)的精确的物理描述过程,即定义各工艺层图形的形状、尺寸以及不同工艺层的相对位置的过程。其设计目标有以下三方面: ① 满足电路功能、性能指标、质量要求; ② 尽可能节省面积,以提高集成度,降低成本; ③ 尽可能缩短连线,以减少复杂度,缩短延时,改善可能性。 2、版图设计的内容: ①布局:安排各个晶体管、基本单元、复杂单元在芯片上的位置。 ②布线:设计走线,实现管间、门间、单元间的互连。

③尺寸确定:确定晶体管尺寸(W、L)、互连尺寸(连线宽度)以及晶体管与互连之间的相对尺寸等。 ④版图编辑(Layout Editor ):规定各个工艺层上图形的形状、尺寸和位置。 ⑤布局布线(Place and route ):给出版图的整体规划和各图形间的连接。 ⑥版图检查(Layout Check ):设计规则检验(DRC,Design Rule Check)、电气规则检查(ERC,Electrical Rule Check)、版图与电路图一致性检验(LVS,Layout Versus Schematic )。 三.设计规则(Design Rul e ): 设计规则是设计人员与工艺人员之间的接口与“协议”,版图设计必须无条件的服从的准则,可以极大地避免由于短路、断路造成的电路失效和容差以及寄生效应引起的性能劣化。设计规则主要包括几何规则、电学规则以及走线规则。其中几何设计规则通常有两类: ①微米准则:用微米表示版图规则中诸如最小特征尺寸和最小允许间隔的绝对尺寸。 ②λ准则:用单一参数λ表示版图规则,所有的几何尺寸都与λ成线性比例。 设计规则分类如下: 1.拓扑设计规则(绝对值):最小宽度、最小间距、最短露头、离周边最短距离。 2.λ设计规则(相对值):最小宽度w=mλ、最小间距s=nλ、最短露头t=lλ、离周边最短距离d=hλ(λ由IC制造厂提供,与具体的工艺类型有关,m、n、l、h为比例因子,与图形类形有关)。 ①宽度规则(width rule):宽度指封闭几何图形的内边之间的距离。 ②间距规则(Separation rule):间距指各几何图形外边界之间的距离。

集成电路版图设计方法及发展趋势

摘要: 随着微电子工艺特征尺寸的不断缩小,集成电路技术的发展呈现部分新的特征。顺应时代技术潮流,我们将带领大家一起深入了解一下集成电路发展技术及发展趋势。集成电路的应用范围广泛,门类繁多。其分类方法也多种多样,大体上可以按照结构、规模和功能三方面来进行分类。 目前集成电路设计有几种主要设计方法,包括全定制设计方法、定制设计方法、半定制设计方法和可编程逻辑电路设计方法。然后,让我们一起总结一下版图设计中的技巧,诸如:合并公共区域、减线法等。最后我们将回顾一下集成电路的发展历程及趋势,有针对性地设想一下版图设计技术的未来动态,为将来的就业做好准备。 关键词:集成电路设计、版图设计、定制版图设计、SC设计方法、BLL设计方法、GA设计方法、IS技术等 一、引言 纵观人类文明发展历程,科学技术手段解放人类生产力,人类创造科技,科技反过来推进人类文明发展的进程。18世纪末至19世纪初,以伽利略自由落体定律、开普勒行星运动三大定律和牛顿力学为理论基础,以“瓦特发明蒸汽机”为标志的第一次产业革命,产生了近代纺织业和机械制造业,是人类进入利用机器延伸和发展人类体力劳动的时代。19世纪末至20世纪初,以1820年奥斯特、法拉第的电磁理论和麦克斯韦发现的电磁波理论为基础,以实用的发电机应用于工业为标志的第二次技术革命。当前,我们正在经历着以电子信息

技术为代表的新的技术革命。 有人认为,从20世纪中期,人类进入了继石器时代、青铜器时代、铁器时代之后的硅器时代。随着新世纪的到来微电子技术已经成为了整个信息时代的标志和基础。顺应时代潮流,版图设计基于集成设计诸多方法中的一种,具有它独特的存在价值和优势。结合自身实际情况,版图设计是我们电子信息科学与技术专业的基础课,且是我们将来从事就业的主要方向。不管是个人兴趣还是以后就业需求,完成版图设计这一课题的论文设计,将有助于自身加深对该领域的了解与认识,一边印证自己上课所学的内容,一边不断地扩充新的领域和知识,更重要的是通过这次论文设计将有助于自己加深对该专业课程的总结和提炼,并在所学内容的基础上不断凝练和升华,提供了很好的“学有所用,学以致用”实践平台。 二、集成电路分类、设计途径和设计特点 集成电路的应用范围广泛,门类繁多。其分类方法也多种多样。集成电路按结构可分为单片集成电路和混合集成电路两大类,单片集成电路包括:双极型、MOS型(NMOS、PMOS)、BI MOS型(BIMOS、BICMOS)混合集成电路则包括:薄膜混合集成电路和厚膜混合集成电路两种;根据集成电路规模的大小,通常将集成电路分为小规模集成电路、中规模集成电路、大规模集成电路、超大规模集成电路、特大规模集成电路和巨大规模集成电路,集成电路规模的划分主要是根据集成电路中的器件数目,即集成电路规模由集成度确定。根据集成电路的功能可以将其划分为数字集成电路、模拟集成电路和数模混合集成电路三

相关文档
相关文档 最新文档