文档库 最新最全的文档下载
当前位置:文档库 › 硬件工程师面试题(非常经典,非常全面)

硬件工程师面试题(非常经典,非常全面)

硬件工程师面试题(非常经典,非常全面)
硬件工程师面试题(非常经典,非常全面)

模拟电路

1、基尔霍夫定理的内容是什么?(仕兰微电子)

基尔霍夫电流定律是一个电荷守恒定律,即在一个电路中流入一个节点的电荷与流出同一个

节点的电荷相等.

基尔霍夫电压定律是一个能量守恒定律,即在一个回路中回路电压之和为零.

2、平板电容公式(C=εS/4πkd)。(未知)

3、最基本的如三极管曲线特性。(未知)

4、描述反馈电路的概念,列举他们的应用。(仕兰微电子)

5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反

馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非

线性失真,有效地扩展放大器的通频带,自动调节作用)(未知)

6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子)

7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知)

8、给出一个查分运放,如何相位补偿,并画补偿后的波特图。(凹凸)

9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺点

,特别是广泛采用差分结构的原因。(未知)

10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。(未知)

11、画差放的两个输入管。(凹凸)

12、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的

运放电路。(仕兰微电子)

13、用运算放大器组成一个10倍的放大器。(未知)

14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点

的rise/fall时间。(Infineon笔试试题)

15、电阻R和电容C串联,输入电压为R和C之间的电压,输出电压分别为C上电压和R上电压

,要求制这两种电路输入电压的频谱,卸险饬街值缏泛挝咄瞬ㄆ鳎挝屯?nbsp;波器

。当RC<

16、有源滤波器和无源滤波器的原理及区别?(新太硬件)

17、有一时域信号S=V0sin(2pif0t)+V1cos(2pif1t)+2sin(2pif3t+90),当其通过低通、带

通、高通滤波器后的信号表示方式。(未知)

18、选择电阻时要考虑什么?(东信笔试题)

19、在CMOS电路中,要有一个单管作为开关管精确传递模拟低电平,这个单管你会用P管

还是N管,为什么?(仕兰微电子)

20、给出多个mos管组成的电路求5个点的电压。(Infineon笔试试题)

21、电压源、电流源是集成电路中经常用到的模块,请画出你知道的线路结构,简单描述

其优缺点。(仕兰微电子)

22、画电流偏置的产生电路,并解释。(凹凸)

23、史密斯特电路,求回差电压。(华为面试题)

24、晶体振荡器,好像是给出振荡频率让你求周期(应该是单片机的,12分之一周期....)(

华为面试题)

25、LC正弦波振荡器有哪几种三点式振荡电路,分别画出其原理图。(仕兰微电子)

26、VCO是什么,什么参数(压控振荡器?)(华为面试题)

27、锁相环有哪几部分组成?(仕兰微电子)

28、锁相环电路组成,振荡器(比如用D触发器如何搭)。(未知)

29、求锁相环的输出频率,给了一个锁相环的结构图。(未知)

30、如果公司做高频电子的,可能还要RF知识,调频,鉴频鉴相之类,不一一列举。(未

知)

31、一电源和一段传输线相连(长度为L,传输时间为T),画出终端处波形,考虑传输线

无损耗。给出电源电压波形图,要求绘制终端波形图。(未知)

32、微波电路的匹配电阻。(未知)

33、DAC和ADC的实现各有哪些方法?(仕兰微电子)

34、A/D电路组成、工作原理。(未知)

35、实际工作所需要的一些技术知识(面试容易问到)。如电路的低功耗,稳定,高速如何

做到,调运放,布版图注意的地方等等,一般会针对简历上你所写做过的东西具体问,肯定

会问得很细(所以别把什么都写上,精通之类的词也别用太多了),这个东西各个人就不

一样了,不好说什么了。(未知)

____________________________________________________________

数字电路

1、同步电路和异步电路的区别是什么?(仕兰微电子)

2、什么是同步逻辑和异步逻辑?(汉王笔试)

同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。

3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试)

线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现,由于不用

oc门可能使灌电流过大,而烧坏逻辑门。同时在输出端口应加一个上拉电阻。

4、什么是Setup和Holdup时间?(汉王笔试)

5、setup和holdup时间,区别.(南山之桥)

6、解释setup time和hold time的定义和在时钟信号延迟时的变化。(未知)

7、解释setup和hold time violation,画图说明,并说明解决办法。(威盛VIA2003.11.

06上海笔试试题)

Setup/hold time是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发

器的时钟信号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上

升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数

据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器。保

持时间是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间。如果hold time不

够,数据同样不能被打入触发器.建立时间(Setup Time)和保持时间(Hold time)。建立

时间是指在时钟边沿前,数据信号需要保持不变的时间。保持时间是指时钟跳变边沿后数

据信号需要保持不变的时间。如果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现metastability的情况。如果数据信号在时钟沿触发前后持续的时间均

超过建立和保持时间,那么超过量就分别被称为建立时间裕量和保持时间裕量。

8、说说对数字逻辑中的竞争和冒险的理解,并举例说明竞争和冒险怎样消除。(仕兰微

电子)

9、什么是竞争与冒险现象?怎样判断?如何消除?(汉王笔试)

在组合逻辑中,由于门的输入信号通路中经过了不同的延时,导致到达该门的时间不一致

叫竞争。产生毛刺叫冒险。如果布尔式中有相反的信号则可能产生竞争和冒险现象。解决

方法:一是添加布尔式的消去项,二是在芯片外部加电容。

10、你知道那些常用逻辑电平?TTL与COMS电平可以直接互连吗?(汉王笔试)

常用逻辑电平:12V,5V,3.3V;TTL和CMOS不可以直接互连,由于TTL是在0.3-3.6V之间,

而CMOS则是有在12V的有在5V的。CMOS输出接到TTL是可以直接互连。TTL接到CMOS 需要在输

出端口加一上拉电阻接到5V或者12V。

11、如何解决亚稳态。(飞利浦-大唐笔试)

亚稳态是指触发器无法在某个规定时间段内达到一个可确认的状态。当一个触发器进入亚

稳态时,既无法预测该单元的输出电平,也无法预测何时输出才能稳定在某个正确的电平

上。在这个稳定期间,触发器输出一些中间级电平,或者可能处于振荡状态,并且这种无

用的输出电平可以沿信号通道上的各个触发器级联式传播下去。

12、IC设计中同步复位与异步复位的区别。(南山之桥)

13、MOORE与MEELEY状态机的特征。(南山之桥)

14、多时域设计中,如何处理信号跨时域。(南山之桥)

15、给了reg的setup,hold时间,求中间组合逻辑的delay范围。(飞利浦-大唐笔试)

Delay

16、时钟周期为T,触发器D1的建立时间最大为T1ma x,最小为T1min。组合逻辑电路最大延

迟为T2ma x,最小为T2min。问,触发器D2的建立时间T3和保持时间应满足什么条件。(华为)

17、给出某个一般时序电路的图,有Tsetup,Tdelay,Tck->q,还有clock的delay,写出决定

最大时钟的因素,同时给出表达式。(威盛VIA2003.11.06上海笔试试题)

18、说说静态、动态时序模拟的优缺点。(威盛VIA2003.11.06上海笔试试题)

19、一个四级的Mux,其中第二级信号为关键信号如何改善timing。(威盛VIA2003.11.06

上海笔试试题)

20、给出一个门级的图,又给了各个门的传输延时,问关键路径是什么,还问给出输入,

使得输出依赖于关键路径。(未知)

21、逻辑方面数字电路的卡诺图化简,时序(同步异步差异),触发器有几种(区别,优

点),全加器等等。(未知)

22、卡诺图写出逻辑表达使。(威盛VIA2003.11.06上海笔试试题)

23、化简F(A,B,C,D)=m(1,3,4,5,10,11,12,13,14,15)的和。(威盛)

24、please show the CMOS inverter schmatic,layout and its cross sectionwith P-

well process.Plot its transfer curve(Vout-Vin)And also expla in the operation

region of PMOS and NMOS for each segment of the transfer curve?(威盛笔试题c

ircuit design-beijing-03.11.09)

25、To design a CMOS invertor with balance rise and fall time,please define th

e ration o

f channel width of PMOS and NMOS and expla in?

26、为什么一个标准的倒相器中P管的宽长比要比N管的宽长比大?(仕兰微电子)

27、用mos管搭出一个二输入与非门。(扬智电子笔试)

28、please draw the transistor level schematic of a cmos2input AND gate and

expla in which input has faster response for output rising edge.(less delay tim

e)。(威盛笔试题circuit design-beijing-03.11.09)

29、画出NOT,NA ND,NOR的符号,真值表,还有transistor level的电路。(Infineon笔试

30、画出CMOS的图,画出tow-to-one mux gate。(威盛VIA2003.11.06上海笔试试题)

31、用一个二选一mux和一个inv实现异或。(飞利浦-大唐笔试)

32、画出Y=A*B+C的cmos电路图。(科广试题)

33、用逻辑们和cmos电路实现ab+cd。(飞利浦-大唐笔试)

34、画出CMOS电路的晶体管级电路图,实现Y=A*B+C(D+E)。(仕兰微电子)

35、利用4选1实现F(x,y,z)=xz+yz’。(未知)

36、给一个表达式f=xxxx+xxxx+xxxxx+xxxx用最少数量的与非门实现(实际上就是化简)。

37、给出一个简单的由多个NOT,NA ND,NOR组成的原理图,根据输入波形画出各点波形。(Infineon笔试)

38、为了实现逻辑(A XOR B)OR(C AND D),请选用以下逻辑中的一种,并说明为什么?1)INV2)AND3)OR4)NAND5)NOR6)XOR答案:NAND(未知)

39、用与非门等设计全加法器。(华为)

40、给出两个门电路让你分析异同。(华为)

41、用简单电路实现,当A为输入时,输出B波形为…(仕兰微电子)

42、A,B,C,D,E进行投票,多数服从少数,输出是F(也就是如果A,B,C,D,E中1的个数比0

多,那么F输出为1,否则F为0),用与非门实现,输入数目没有限制。(未知)

43、用波形表示D触发器的功能。(扬智电子笔试)

44、用传输门和倒向器搭一个边沿触发器。(扬智电子笔试)

45、用逻辑们画出D触发器。(威盛VIA2003.11.06上海笔试试题)

46、画出DFF的结构图,用verilog实现之。(威盛)

47、画出一种CMOS的D锁存器的电路图和版图。(未知)

48、D触发器和D锁存器的区别。(新太硬件面试)

49、简述latch和filp-flop的异同。(未知)

50、LATCH和DFF的概念和区别。(未知)

51、latch与register的区别,为什么现在多用register.行为级描述中latch如何产生的。

(南山之桥)

52、用D触发器做个二分颦的电路.又问什么是状态图。(华为)

53、请画出用D触发器实现2倍分频的逻辑电路?(汉王笔试)

54、怎样用D触发器、与或非门组成二分频电路?(东信笔试)

55、How many flip-flop circuits are needed to divide by16?(Intel)16分频?

56、用filp-flop和logic-gate设计一个1位加法器,输入carryin和current-stage,输出

carryout和next-stage.(未知)

57、用D触发器做个4进制的计数。(华为)

58、实现N位Johnson Counter,N=5。(南山之桥)

59、用你熟悉的设计方式设计一个可预置初值的7进制循环计数器,15进制的呢?(仕兰微

电子)

60、数字电路设计当然必问Verilog/VHDL,如设计计数器。(未知)

61、BLOCK ING NONBLOCK ING赋值的区别。(南山之桥)

62、写异步D触发器的verilog module。(扬智电子笔试)

module dff8(clk,reset,d,q);

input clk;

input reset;

input[7:0]d;

output[7:0]q;

reg[7:0]q;

always@(posedge clk or posedge reset)

if(reset)

q<=0;

else

q<=d;

endmodule

63、用D触发器实现2倍分频的Verilog描述?(汉王笔试)

module divide2(clk,clk_o,reset);

input clk,reset;

output clk_o;

wire in;

reg out;

always@(posedge clk or posedge reset)

if(reset)

out<=0;

else

out<=in;

assign in=~out;

assign clk_o=out;

endmodule

64、可编程逻辑器件在现代电子设计中越来越重要,请问:a)你所知道的可编程逻辑器件有哪些?b)试用VHDL或VERILOG、ABLE描述8位D触发器逻辑。(汉王笔试)PAL,PLD,CPLD,FPGA。

module dff8(clk,reset,d,q);

input clk;

input reset;

input d;

output q;

reg q;

always@(posedge clk or posedge reset)

if(reset)

q<=0;

else

q<=d;

endmodule

65、请用HDL描述四位的全加法器、5分频电路。(仕兰微电子)

66、用VERILOG或VHDL写一段代码,实现10进制计数器。(未知)

67、用VERILOG或VHDL写一段代码,实现消除一个glitch。(未知)

68、一个状态机的题目用verilog实现(不过这个状态机画的实在比较差,很容易误解的)。(威盛VIA2003.11.06上海笔试试题)

69、描述一个交通信号灯的设计。(仕兰微电子)

70、画状态机,接受1,2,5分钱的卖报机,每份报纸5分钱。(扬智电子笔试)

71、设计一个自动售货机系统,卖soda水的,只能投进三种硬币,要正确的找回钱数。(1)画出fsm(有限状态机);(2)用verilog编程,语法要符合fpga设计的要求。(未知

72、设计一个自动饮料售卖机,饮料10分钱,硬币有5分和10分两种,并考虑找零:(1)

画出fsm(有限状态机);(2)用verilog编程,语法要符合fpga设计的要求;(3)设计

工程中可使用的工具及设计大致过程。(未知)

73、画出可以检测10010串的状态图,并verilog实现之。(威盛)

74、用FSM实现101101的序列检测模块。(南山之桥)

a为输入端,b为输出端,如果a连续输入为1101则b输出为1,否则为0。例如a:00011001 10110100100110

b:0000000000100100000000

请画出state machine;请用RTL描述其state machine。(未知)

75、用verilog/vddl检测stream中的特定字符串(分状态用状态机写)。(飞利浦-大唐

笔试)

76、用verilog/vhdl写一个fifo控制器(包括空,满,半满信号)。(飞利浦-大唐笔试)

77、现有一用户需要一种集成电路产品,要求该产品能够实现如下功能:y=lnx,其中,x

为4位二进制整数输入信号。y为二进制小数输出,要求保留两位小数。电源电压为3~5v假设公司接到该项目后,交由你来负责该产品的设计,试讨论该产品的设计全程。(仕兰微

电子)

78、sram,falsh memory,及dram的区别?(新太硬件面试)

79、给出单管DRAM的原理图(西电版《数字电子技术基础》作者杨颂华、冯毛官205页图9-14b),问你有什么办法提高refresh time,总共有5个问题,记不起来了。(降低温度,

增大电容存储容量)(Infineon笔试)

80、Please draw schematic of a common SRAM cell with6transistors,point out w

hich nodes can store data and which node is word line control?(威盛笔试题cir

cuit design-beijing-03.11.09)

81、名词:sram,ssram,sdram

名词IRQ,BIOS,USB,VHDL,SDR

IRQ:Interrupt ReQuest

BIOS:Basic Input Output System

USB:Universal Serial Bus

VHDL:VHIC Hardware Description Language

SDR:Single Data Rate

压控振荡器的英文缩写(VCO)。

动态随机存储器的英文缩写(DRAM)。

名词解释,无聊的外文缩写罢了,比如PCI、ECC、DDR、interrupt、pipeline IRQ,BIOS, USB,VHDL,VLSI VCO(压控振荡器)RAM(动态随机存储器),FIR IIR DFT(离散傅立叶变换)或者是中文的,比如:a.量化误差 b.直方图 c.白平衡

____________________________________________________________

IC设计基础(流程、工艺、版图、器件)

1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路相

关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA 等

的概念)。(仕兰微面试题目)

2、FPGA和ASIC的概念,他们的区别。(未知)

答案:FPGA是可编程ASIC。

ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一个

用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与门

阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计制造

成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点

3、什么叫做OTP片、掩膜片,两者的区别何在?(仕兰微面试题目)

4、你知道的集成电路设计的表达方式有哪几种?(仕兰微面试题目)

5、描述你对集成电路设计流程的认识。(仕兰微面试题目)

6、简述FPGA等可编程逻辑器件设计流程。(仕兰微面试题目)

7、IC设计前端到后端的流程和eda工具。(未知)

8、从RTL synthesis到tape out之间的设计flow,并列出其中各步使用的tool.(未知)

9、Asic的design flow。(威盛VIA2003.11.06上海笔试试题)

10、写出asic前期设计的流程和相应的工具。(威盛)

11、集成电路前段设计流程,写出相关的工具。(扬智电子笔试)

先介绍下IC开发流程:

1.)代码输入(design input)

用vhdl或者是verilog语言来完成器件的功能描述,生成hdl代码

语言输入工具:SUMMIT VISUA LHDL

MENTOR RENIOR

图形输入:composer(cadence);

viewlogic(viewdraw)

2.)电路仿真(circuit simulation)

将vhd代码进行先前逻辑仿真,验证功能描述是否正确

数字电路仿真工具:

Verolog:CADENCE Verolig-XL

SYNOPSYS VCS

MENTOR Modle-sim

VHDL:CADENCE NC-vhdl

SYNOPSYS VSS

MENTOR Modle-sim

模拟电路仿真工具:

***ANTI HSpice pspice,spectre micro microwave:eesoft:hp

3.)逻辑综合(synthesis tools)

逻辑综合工具可以将设计思想vhd代码转化成对应一定工艺手段的门级电路;将初级仿真

中所没有考虑的门沿(gates delay)反标到生成的门级网表中,返回电路仿真阶段进行再

仿真。最终仿真结果生成的网表称为物理网表。

12、请简述一下设计后端的整个流程?(仕兰微面试题目)

13、是否接触过自动布局布线?请说出一两种工具软件。自动布局布线需要哪些基本元素

?(仕兰微面试题目)

14、描述你对集成电路工艺的认识。(仕兰微面试题目)

15、列举几种集成电路典型工艺。工艺上常提到0.25,0.18指的是什么?(仕兰微面试题目

16、请描述一下国内的工艺现状。(仕兰微面试题目)

17、半导体工艺中,掺杂有哪几种方式?(仕兰微面试题目)

18、描述CMOS电路中闩锁效应产生的过程及最后的结果?(仕兰微面试题目)

19、解释latch-up现象和Antenna effect和其预防措施.(未知)

20、什么叫Latchup?(科广试题)

21、什么叫窄沟效应?(科广试题)

22、什么是NMOS、PMOS、CMOS?什么是增强型、耗尽型?什么是PNP、NPN?他们有什么差

别?(仕兰微面试题目)

23、硅栅COMS工艺中N阱中做的是P管还是N管,N阱的阱电位的连接有什么要求?(仕兰微

面试题目)

24、画出CMOS晶体管的CROSS-OVER图(应该是纵剖面图),给出所有可能的传输特性和转移特性。(Infineon笔试试题)

25、以interver为例,写出N阱CMOS的process流程,并画出剖面图。(科广试题)

26、Please expla in how we describe the resistance in https://www.wendangku.net/doc/6e5117759.html,pare th

e resistance o

f a metal,poly and diffusion in tranditional CMOS process.(威盛

笔试题circuit design-beijing-03.11.09)

27、说明mos一半工作在什么区。(凹凸的题目和面试)

28、画p-bulk的nmos截面图。(凹凸的题目和面试)

29、写schematic note(?),越多越好。(凹凸的题目和面试)

30、寄生效应在ic设计中怎样加以克服和利用。(未知)

31、太底层的MOS管物理特性感觉一般不大会作为笔试面试题,因为全是微电子物理,公式推导太罗索,除非面试出题的是个老学究。IC设计的话需要熟悉的软件:Cadence,Synops

ys,Avant,UNI X当然也要大概会操作。

32、unix命令cp-r,rm,uname。(扬智电子笔试)

____________________________________________________________

单片机、MCU、计算机原理

1、简单描述一个单片机系统的主要组成模块,并说明各模块之间的数据流流向和控制流流

向。简述单片机应用系统的设计原则。(仕兰微面试题目)

2、画出8031与2716(2K*8ROM)的连线图,要求采用三-八译码器,8031的P2.5,P2.4和P2

.3参加译码,基本地址范围为3000H-3FFFH。该2716有没有重叠地址?根据是什么?若有,

则写出每片2716的重叠地址范围。(仕兰微面试题目)

3、用8051设计一个带一个8*16键盘加驱动八个数码管(共阳)的原理图。(仕兰微面试题

目)

4、PCI总线的含义是什么?PCI总线的主要特点是什么?(仕兰微面试题目)

5、中断的概念?简述中断的过程。(仕兰微面试题目)

6、如单片机中断几个/类型,编中断程序注意什么问题;(未知)

7、要用一个开环脉冲调速系统来控制直流电动机的转速,程序由8051完成。简单原理如下

:由P3.4输出脉冲的占空比来控制转速,占空比越大,转速越快;而占空比由K7-K0八个开关来设置,直接与P1口相连(开关拨到下方时为"0",拨到上方时为"1",组成一个八

位二进制数N),要求占空比为N/256。(仕兰微面试题目)

下面程序用计数法来实现这一功能,请将空余部分添完整。

MOV P1,#0FFH

LOOP1:MOV R4,#0FFH

--------

MOV R3,#00H

LOOP2:MOV A,P1

--------

SUBB A,R3

JNZ SKP1

--------

SKP1:MOV C,70H

MOV P3.4,C

ACALL DELAY:此延时子程序略

--------

--------

AJMP LOOP1

8、单片机上电后没有运转,首先要检查什么?(东信笔试题)

9、What is PC Chipset?(扬智电子笔试)

芯片组(Chipset)是主板的核心组成部分,按照在主板上的排列位置的不同,通常分为北

桥芯片和南桥芯片。北桥芯片提供对CPU的类型和主频、内存的类型和最大容量ISA/PCI/A GP插槽、ECC纠错等支持。南桥芯片则提供对KBC(键盘控制器)、RTC(实时时

钟控制器)、USB(通用串行总线)、Ultra DMA/33(66)EIDE数据传输方式和ACPI(高级能

源管理)等的支持。其中北桥芯片起着主导性的作用,也称为主桥(Host Bridge)。

除了最通用的南北桥结构外,目前芯片组正向更高级的加速集线架构发展,Intel的8

xx系列芯片组就是这类芯片组的代表,它将一些子系统如IDE接口、音效、MODEM和USB 直接

接入主芯片,能够提供比PCI总线宽一倍的带宽,达到了266MB/s。

10、如果简历上还说做过cpu之类,就会问到诸如cpu如何工作,流水线之类的问题。(未知)

11、计算机的基本组成部分及其各自的作用。(东信笔试题)

12、请画出微机接口电路中,典型的输入设备与微机接口逻辑示意图(数据接口、控制接

口、所存器/缓冲器)。(汉王笔试)

13、cache的主要部分什么的。(威盛VIA2003.11.06上海笔试试题)

14、同步异步传输的差异(未知)

15、串行通信与同步通信异同,特点,比较。(华为面试题)

16、RS232c高电平脉冲对应的TTL逻辑是?(负逻辑?)(华为面试题)

____________________________________________________________

信号与系统

1、的话音频率一般为300~3400HZ,若对其采样且使信号不失真,其最小的采样频率应为多大?若采用8KHZ的采样频率,并采用8bit的PCM编码,则存储一秒钟的信号数据量有多大?

(仕兰微面试题目)

2、什么耐奎斯特定律,怎么由模拟信号转为数字信号。(华为面试题)

3、如果模拟信号的带宽为5khz,要用8K的采样率,怎么办?lucent)两路?

4、信号与系统:在时域与频域关系。(华为面试题)

5、给出时域信号,求其直流分量。(未知)

6、给出一时域信号,要求(1)写出频率分量,(2)写出其傅立叶变换级数;(3)当波

形经过低通滤波器滤掉高次谐波而只保留一次谐波时,画出滤波后的输出波形。(未知)

7、sketch连续正弦信号和连续矩形波(都有图)的傅立叶变换。(Infineon笔试试题)

8、拉氏变换和傅立叶变换的表达式及联系。(新太硬件面题)

____________________________________________________________

DSP、嵌入式、软件等

1、请用方框图描述一个你熟悉的实用数字信号处理系统,并做简要的分析;如果没有,也

可以自己设计一个简单的数字信号处理系统,并描述其功能及用途。(仕兰微面试题目)

2、数字滤波器的分类和结构特点。(仕兰微面试题目)

3、IIR,FIR滤波器的异同。(新太硬件面题)

4、拉氏变换与Z变换公式等类似东西,随便翻翻书把如.h(n)=-a*h(n-1)+b*δ(n)a.求h(

n)的z变换;b.问该系统是否为稳定系统;c.写出FIR数字滤波器的差分方程;(未知)

5、DSP和通用处理器在结构上有什么不同,请简要画出你熟悉的一种DSP结构图。(信威d sp软件面试题)

6、说说定点DSP和浮点DSP的定义(或者说出他们的区别)(信威dsp软件面试题)

7、说说你对循环寻址和位反序寻址的理解.(信威dsp软件面试题)

8、请写出【-8,7】的二进制补码,和二进制偏置码。用Q15表示出0.5和-0.5.(信威d

sp软件面试题)

9、DSP的结构(哈佛结构);(未知)

10、嵌入式处理器类型(如ARM),操作系统种类(Vxwor ks,ucos,winCE,linux),操作系统

方面偏CS方向了,在CS篇里面讲了;(未知)

11、有一个LDO芯片将用于对手机供电,需要你对他进行评估,你将如何设计你的测试项目?

12、某程序在一个嵌入式系统(200M CPU,50M SDRAM)中已经最优化了,换到零一个系统(300M CPU,50M SDRAM)中是否还需要优化?(Intel)

13、请简要描述HUFFMA N编码的基本原理及其基本的实现方法。(仕兰微面试题目)

14、说出OSI七层网络协议中的四层(任意四层)。(仕兰微面试题目)

15、A)(仕兰微面试题目)

#i nclude

void testf(int*p)

{

*p+=1;

}

main()

{

int*n,m[2];

n=m;

m[0]=1;

m[1]=8;

testf(n);

printf("Data v alue is%d",*n);

}

------------------------------

B)

#i nclude

void testf(int**p)

{

*p+=1;

}

main()

{int*n,m[2];

n=m;

m[0]=1;

m[1]=8;

testf(&n);

printf(Data v alue is%d",*n);

}

下面的结果是程序A还是程序B的?

Data v alue is8

那么另一段程序的结果是什么?

16、那种排序方法最快?(华为面试题)

17、写出两个排序算法,问哪个好?(威盛)

18、编一个简单的求n!的程序。(Infineon笔试试题)

19、用一种编程语言写n!的算法。(威盛VIA2003.11.06上海笔试试题)

20、用C语言写一个递归算法求N!;(华为面试题)

21、给一个C的函数,关于字符串和数组,找出错误;(华为面试题)

22、防火墙是怎么实现的?(华为面试题)

23、你对哪方面编程熟悉?(华为面试题)

24、冒泡排序的原理。(新太硬件面题)

25、操作系统的功能。(新太硬件面题)

26、学过的计算机语言及开发的系统。(新太硬件面题)

27、一个农夫发现围成正方形的围栏比长方形的节省4个木桩但是面积一样.羊的数目和正方形围栏的桩子的个数一样但是小于36,问有多少羊?(威盛)

28、C语言实现统计某个cell在某.v文件调用的次数(这个题目真bt)(威盛VIA2003.11.0 6上海笔试试题)

29、用C语言写一段控制手机中马达振子的驱动程序。(威胜)

30、用perl或TCL/Tk实现一段字符串识别和比较的程序。(未知)

31、给出一个堆栈的结构,求中断后显示结果,主要是考堆栈压入返回地址存放在低端地址还是高端。(未知)

32、一些DOS命令,如显示文件,拷贝,删除。(未知)

33、设计一个类,使得该类任何形式的派生类无论怎么定义和实现,都无法产生任何对象

实例。(IBM)

34、What is pre-emption?(Intel)

35、What is the state of a process if a resource is not available?(Intel)

36、三个float a,b,c;问值(a+b)+c==(b+a)+c,(a+b)+c==(a+c)+b。(Intel)

37、把一个链表反向填空。(lucent)

38、x^4+a*x^3+x^2+c*x+d最少需要做几次乘法?(Dephi)

____________________________________________________________

主观题

1、你认为你从事研发工作有哪些特点?(仕兰微面试题目)

2、说出你的最大弱点及改进方法。(威盛VIA2003.11.06上海笔试试题)

3、说出你的理想。说出你想达到的目标。题目是英文出的,要用英文回答。(威盛VIA 2003.11.06上海笔试试题)

4、我们将研发人员分为若干研究方向,对协议和算法理解(主要应用在网络通信、图象

语音压缩方面)、电子系统方案的研究、用MCU、DSP编程实现电路功能、用ASIC设计技术设计电路(包括MCU、DSP本身)、电路功能模块设计(包括模拟电路和数字电路)、集成电路后端设计(主要是指综合及自动布局布线技术)、集成电路设计与工艺接口的研究.

你希望从事哪方面的研究?(可以选择多个方向。另外,已经从事过相关研发的人员可以

详细描述你的研发经历)。(仕兰微面试题目)

5、请谈谈对一个系统设计的总体思路。针对这个思路,你觉得应该具备哪些方面的知识

?(仕兰微面试题目)

6、设想你将设计完成一个电子电路方案。请简述用EDA软件(如PROTEL)进行设计(包括原理图和PCB图)到调试出样机的整个过程。在各环节应注意哪些问题?电源的稳定,电

容的选取,以及布局的大小。(汉王笔试)

共同的注意点

各大公司电子类招聘题目精选

1.一般情况下,面试官主要根据你的简历提问,所以一定要对自己负责,把简历上的东西

搞明白;

2.个别招聘针对性特别强,就招目前他们确的方向的人,这种情况下,就要投其所好,尽

量介绍其所关心的东西。

3.其实技术面试并不难,但是由于很多东西都忘掉了,才觉得有些难。所以最好在面试前

把该看的书看看。

4.虽然说技术面试是实力的较量与体现,但是不可否认,由于不用面试官/公司所专领域

及爱好不同,也有面试也有很大的偶然性,需要冷静对待。不能因为被拒,就否认自己或

责骂公司。

5.面试时要take it easy,对越是自己钟情的公司越要这样。

无领导小组讨论的经典面试题目及答案解析

无领导小组讨论的经典面试题目及答案解析 沙漠求生记 一、内容 1、在炎热的八月,你乘坐的小型飞机在撒哈拉沙漠失事,机身严重撞毁,将会着火焚烧。 2、飞机燃烧前,你们只有十五分钟时间,从飞机中领取物品。 3、问题:在飞机失事中,如果你们只能从十五项物品中,挑选五项。在考虑沙漠的情况后,按物品的重要性,你们会怎样选择呢?请解释原因。 二、沙漠情况 1、飞机的位置不能确定,只知道最近的城镇是附近七十公里的煤矿小城。 2、沙漠日间温度是40度,夜间温度随时骤降至5度。 三、假设 1、飞机上生还人数与你的小组人数相同。你们装束轻便,只穿着短袖T恤、牛仔裤、运动裤和运动鞋,每人都有一条手帕。 2、全组人都希望一起共同进退。 3、机上所有物品性能良好。 四、物品清单 请从以下十五项物品中,挑选最重要的五项: 1、一支闪光信号灯(内置四个电池)

2、一把军刀 3、一张该沙漠区的飞行地图 4、七件大号塑料雨衣 5、一个指南针 6、一个小型量器箱(内有温度计、气压计、雨量计等) 7、一把45口径手枪(已有子弹) 8、三个降落伞(有红白相间图案) 9、一瓶维他命丸(100粒装) 10、十加仑饮用水 11、化妆镜 12、七副太阳眼镜 13、两加仑伏特加酒 14、七件厚衣服 15、一本《沙漠动物》百科全书 专家解题: 一位專家在沙漠研究求生問題,搜集了無數事件和生還者資料,得出以下結論: 1.化妝鏡: 在各項物品中,鏡子是獲救的關鍵.鏡子在太陽下可產生相等於七萬支燭光;如反射太陽光線,地平線另一端也可看見.只要有一面鏡,獲救機會有80%

2.外套1件: 人體內有40%是水份,流汗和呼吸會使水份消失,保持鎮定可減低脫水速度.穿外套能減低皮膚表面的水份蒸發.如沒有外套,維持生命的時間便減少一日. 3.四公升水: 如有以上兩項物品,可生存三天.水有助減低脫水速度.口渴時,飲水可使頭腦清醒. 但身體開始脫水時,飲水也沒有多大作用了. 4.手電筒: 電筒是在晚上最快最可靠的工具.有了化妝鏡和手電筒,24小時都可發出訊號;而且可用電筒作反光鏡和玻璃做訊號,亦可作引火點燃之用. 5.降落傘: 可用作遮蔭和發出訊號,用仙人掌做營桿,降落傘做營頂,可減低20度. 6.大摺刀: 可切碎仙人掌或切割營桿,也有其他用途,可排於較前位置. 7.膠雨衣: 可做(集水器),在地上掘一個洞,用雨衣蓋在上面,再在中間放一小石塊,使之成漏斗形.日夜溫度差距可使空氣的水份附在雨衣上:將雨衣上的水滴在電筒中儲存.這樣做一天可提取500毫升的水,但也可消耗兩倍可收集的水份. 8.手槍: 第二天之後,說話和行動已很困難.彈藥有時要做起火之用,而國際求救訊號是連續三個短的符號.無數事件是因為求生者不能作聲而沒有給發現.還有槍柄可作槌仔用. 9.太陽眼鏡: 在猛烈陽光下會有光盲症.用降落傘遮蔭可避免眼睛受損,但用太陽眼鏡更舒適. 10.紗布一箱: 沙漠濕度低,是最少傳染病的地方.,但身體脫水會使血液凝結. 有事例紀錄,有一男子身體內失去水份,而身上的衣服已撕破,倒在仙人掌和石上. 滿身傷口但無流血.後來獲救,飲水後傷口再度流血,紗布可當繩子或包紮保護之用. 11.指南針: 除用其反射面作發訊外,它並無用處,反而引誘了人離開失事地點的危機. 12.航空圖: 可用作起火或廁紙用,亦會引誘人走出沙漠. 13.書一本: 最大問題是脫水而非饑餓,打獵所得相等於失去水份,沙漠中也沒什麼動物可見.進食亦需要大量的水以幫助消化. 14.伏特加酒:劇烈的酒精會吸去人體水份,更可致命,它只能用作暫時降低體溫之用. 15.鹽片千片:人們過分高估鹽的用途.如血液內鹽份增加,同時也需要大量的水以降低身體內的含鹽量. 月球求生记

硬件工程师面试题集(含答案-很全)

硬件工程师面试题集 (DSP,嵌入式系统,电子线路,通讯,微电子,半导体) 1、下面是一些基本的数字电路知识问题,请简要回答之。 (1) 什么是Setup和Hold 时间? 答:Setup/Hold Time 用于测试芯片对输入信号和时钟信号之间的时间要求。建立时间(Setup Time)是指触发器的时钟信号上升沿到来以前,数据能够保持稳定不变的时间。输入数据信号应提前时钟上升沿(如上升沿有效)T 时间到达芯片,这个T就是建立时间通常所说的SetupTime。如不满足Setup Time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿到来时,数据才能被打入触发器。保持时间(Hold Time)是指触发器的时钟信号上升沿到来以后,数据保持稳定不变的时间。如果Hold Time 不够,数据同样不能被打入触发器。 (2) 什么是竞争与冒险现象?怎样判断?如何消除? 答:在组合逻辑电路中,由于门电路的输入信号经过的通路不尽相同,所产生的延时也就会不同,从而导致到达该门的时间不一致,我们把这种现象叫做竞争。由于竞争而在电路输出端可能产生尖峰脉冲或毛刺的现象叫冒险。如果布尔式中有相反的信号则可能产生竞争和冒险现象。解决方法:一是添加布尔式的消去项,二是在芯片外部加电容。 (3) 请画出用D 触发器实现2 倍分频的逻辑电路 答:把D 触发器的输出端加非门接到D 端即可,如下图所示: (4) 什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求? 答:线与逻辑是两个或多个输出信号相连可以实现与的功能。在硬件上,要用OC 门来实现(漏极或者集电极开路),为了防止因灌电流过大而烧坏OC 门,应在OC 门输出端接一上拉电阻(线或则是下拉电阻)。 (5) 什么是同步逻辑和异步逻辑?同步电路与异步电路有何区别? 答:同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系.电路设计可分类为同步电路设计和异步电路设计。同步电路利用时钟脉冲使其子系统同步运作,而异步电路不使用时钟脉冲做同步,其子系统是使用特殊的“开始”和“完成”信号使之同步。异步电路具有下列优点:无时钟歪斜问题、低电源消耗、平均效能而非最差效能、模块性、可组合和可复用性。 (7) 你知道那些常用逻辑电平?TTL 与COMS 电平可以直接互连吗? 答:常用的电平标准,低速的有RS232、RS485、RS422、TTL、CMOS、LVTTL、LVCMOS、ECL、ECL、LVPECL 等,高速的有LVDS、GTL、PGTL、CML、HSTL、SSTL 等。 一般说来,CMOS 电平比TTL 电平有着更高的噪声容限。如果不考虑速度和性能,一般TTL 与CMOS 器件可以互换。但是需要注意有时候负载效应可能引起电路工作不正常,因为有些TTL 电路需要下一级的输入阻抗作为负载才能正常工作。 (6) 请画出微机接口电路中,典型的输入设备与微机接口逻辑示意图(数据接口、控制接口、锁存器/缓冲器)

大学生面试15个经典问题与答案

大学生面试20个经典问题与答案 面试是大学生就业关键一关,要知己知彼,百战不殆。下面由首席大学生就业顾问、著名职业生涯规划专家:李震东老师向大家介绍面试问题及回答思路: 问题一:“请你自我介绍一下” 我就读于华南理工大学工商管理学院,我的专业是国际经济与贸易,此外还辅修了法学. 在校期间,除了学习课本,我比较喜欢参加一些课外活动.包括发传单,做家庭教师,参加各种比赛和项目.主要就是简历上介绍的那些. 我比较喜欢踢足球,看各种企业培训的讲座. 不知道您对哪些方面还需要进一步了解. 思路: 1、这是面试的必考题目。 2、介绍内容要与个人简历相一致。 3、表述方式上尽量口语化。 4、要切中要害,不谈无关、无用的内容。 5、条理要清晰,层次要分明。 6、事先最好以文字的形式写好背熟。 问题二:“谈谈你的家庭情况” 思路: 1、况对于了解应聘者的性格、观念、心态等有一定的作用,这是招聘单位问该问题的主要原因。 2、简单地罗列家庭人口。 3、宜强调温馨和睦的家庭氛围。 4、宜强调父母对自己教育的重视。 5、宜强调各位家庭成员的良好状况。 6、宜强调家庭成员对自己工作的支持。 7、宜强调自己对家庭的责任感。 问题三:最能概括你自己的三个词是什么? 思路:我经常用的三个词是:适应能力强,有责任心和做事有始终,结合具体例子向主考官解释,使他们觉得你具有发展潜力。 问题四:“你有什么业余爱好?” 思路: 1、业余爱好能在一定程度上反映应聘者的性格、观念、心态,这是招聘单位问该问题的主要原因。 2、最好不要说自己没有业余爱好。

3、不要说自己有那些庸俗的、令人感觉不好的爱好。 4、最好不要说自己仅限于读书、听音乐、上网,否则可能令面试官怀疑应聘者性格孤僻。 5、最好能有一些户外的业余爱好来“点缀”你的形象。 6、找一些富于团体合作精神的。这里有一个真实的故事:有人被否决掉,因为他的爱好是深海潜水。主考官说:因为这是一项单人活动,我不敢肯定他能否适应团体工作。 问题五:“你最崇拜谁?” 思路: 1、最崇拜的人能在一定程度上反映应聘者的性格、观念、心态,这是面试官问该问题的主要原因。 2、不宜说自己谁都不崇拜。 3、不宜说崇拜自己。 4、不宜说崇拜一个虚幻的、或是不知名的人。 5、不宜说崇拜一个明显具有负面形象的人。 6、所崇拜的人人最好与自己所应聘的工作能“搭”上关系。 7、最好说出自己所崇拜的人的哪些品质、哪些思想感染着自己、鼓舞着自己。 问题六:“你的座右铭是什么?” 思路: 1、座右铭能在一定程度上反映应聘者的性格、观念、心态,这是面试官问这个问题的主要原因。 2、不宜说那些医引起不好联想的座右铭。 3、不宜说那些太抽象的座右铭。 4、不宜说太长的座右铭。 5、座右铭最好能反映出自己某种优秀品质。 6、参考答案——“只为成功找方法,不为失败找借口”。 问题七:“谈谈你的缺点” 思路: 1、不宜说自己没缺点。 2、不宜把那些明显的优点说成缺点。 3、不宜说出严重影响所应聘工作的缺点。 4、不宜说出令人不放心、不舒服的缺点。 5、可以说出一些对于所应聘工作“无关紧要”的缺点,甚至是一些表面上看是缺点,从工作的角度看却是优点的缺点.。绝对不要自作聪明地回答“我最大的缺点是过于追求完美”,有的人以为这样回答会显得自己比较出色,但事实上,他已经岌芨可危了。 问题八:“谈一谈你的一次失败经历”

硬件工程师笔试题硬件工程师笔试题

硬件工程师面试试题 模拟电路 1、基尔霍夫定理的内容是什么?(仕兰微电子) 2、平板电容公式(C=εS/4πkd)。(未知) 3、最基本的如三极管曲线特性。(未知) 4、描述反馈电路的概念,列举他们的应用。(仕兰微电子) 5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用)(未知) 6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子) 7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知) 8、给出一个查分运放,如何相位补偿,并画补偿后的波特图。(凹凸) 9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺点,特别是广泛采用差分结构的原因。(未知) 10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。(未知) 11、画差放的两个输入管。(凹凸) 12、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的运放电路。(仕兰微电子) 13、用运算放大器组成一个10倍的放大器。(未知) 14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点的 rise/fall时间。(Infineon笔试试题) 15、电阻R和电容C串联,输入电压为R和C之间的电压,输出电压分别为C 上电压和R上电压,要求制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通滤波器。当RC<

经典面试题及答案分析

经典面试题及答案分析 人事主管最常用的面试题和最喜欢的答案 咨询题1: 假如我录取你,你认为你在这份工作上会待多久呢? a?这咨询题可能要等我工作一段时刻后,才干比较具体地回答。 b?—份工作至少要做3年、5年,才干学习到精华的部分。 c?那个咨询题蛮难回答的,可能要看当时的情形。 d?至少2年,2年后我打算再出国深造。 解答:挑选 b 最多, a 次之。 b 的回答能充分显示出你的稳定性,只是,这必须配合你的履历表上,之前的工作是否也有一致性。a的回答则是特别实际,有些人事主管因为观赏应征者的坦诚,可以同意如此的回答。 咨询题2:除了我们公司之外,你还应征了其它哪些公司呢? a?除了向贵公司如此的计算机外设产品公司外,我还应征了x饮料公司、x软件设计公 司及x化工公司。 b?因为是经过人才站,因此有不少公司与我联络,别胜枚举。 c?由于我只对计算机公司较感兴趣,所以除贵公司外,我还应征了x及x公司。 d?我别是很积极地想换工作,这半年多来陆陆续续寄了一些履历,公司名字别太记得。 解答:最理想的回答是c。c的回答能够显示出应征者的目标明确,关于自己的下一具工作应该在哪里,考虑得很清晰。 咨询题3:你希翼5 年后达到什么成就? a. 做一天和尚敲一天钟,尽人事听天命、顺其自然。 b?依我的灵巧及才能,晋升到部门经理是我的中期目标。 c. 自己独当一面开公司。 d. “全力以赴”是我的座右铭,希翼能随着经验的增加,被给予更多的职责及挑战。解答: 最理想的回答是d。 咨询题4:假如你离开现职,你认为你的老总会有什么反应? a. 很震惊,因为老总对我就是很信赖,我就如同他的左右手一样。 b. 还好吧,他似乎内心也有数,反正公司如今也别忙。 c. 他似乎适应了,反正他手下的人来来去去已是司空见惯。 d. 我想他一定会生气地破口大骂,他是一具相当情绪化的人。 解答:最理想的回答是a。面谈者想借此了解你和前(现)任主管的相处情形,以及你在主管心目中的地位怎么? 咨询题5:你什么原因想来我们公司工作? a. 要紧是这份工作的内容很吸引我。 b. 贵公司在业界颇出名的,听说治理也很人性化。 c. 我的大学同学在贵公司会计部工作,是他建议我来应征的。 d. 贵公司所处的产业,以及在业界的声誉、工作性质,都很吸引我。解答:最理想的回答是d。

校园招聘经典面试问题汇编(含答案)

校园招聘系列校园招聘系列之 校招经典面试问题汇编(含答案) 一、认识自我类 1、自我评价一下你自己,最大的优点以及最大的缺点,另外你的人生规划是什么? 答:我热爱生活,积极看待人生,对于很多东西都有旺盛的求知欲,愿意从任何人身上学习我不懂的东西,我也非常喜欢交朋友,乐于在一个团结友好的大团队之中开展工作。总的来说,热情,好学,以及良好的沟通协调能力是我的最大优势。当然我也有很多缺点,由于年龄的关系,我看一些问题不够深入,有时候未免做事情未免急躁,不过我能够虚心听取意见,相信在开展工作之时,能够发挥我的特长并且出色完成任务。 2、如果本单位无法给你解决户口问题,但是其他条件都能满足你,你是否还会来本公司工作? 答:我很喜欢贵单位,对于单位能够在各个方面最大程度的证明我的价值表示衷心的感谢。户口是我比较关注的问题,如果单位能够尽可能的帮助我解决这个后顾之忧,那么我就能够保证全心全意的投入到工作之中来,如果单位暂时有困难,我也表示理解。毕竟事业的发展空间对于我来说才是最重要的。我会好好权衡。

3、看你的简历中得知你有在大公司作intern的经历,为什么你在找正式工作的时候来我们这样一家小公司? 答:大公司有大公司的优势,小公司也有小公司的好处。对于一个刚毕业的学生来说,我需要学习的地方很多,而最最急需掌握的是独立处理问题的能力和承担一个项目的经验.在大公司中由于人员组织结构的复杂庞大以及井然有序,每个人各司其职,往往长年累月做的都是重复性的相同工作,难以接触到自己职责之外的事情,而小公司因为部门少,人事关系相对简单,每个人在挽成自己的本职工作之外,还有很多机会接触别人做的东西,这样只需要在短时间内就可以锻炼成为一个"多面手".有了在小公司的工作经验,不需要很长时间,我想我就可以独立策划一个项目并且出色的完成它.还有一点,大公司创造利润之后?配到每个员工头上的红利其实并不多;而小公司的利益都会切实的落实到每个职工身上,是那种所谓你能看得到摸的着的好处,这一点也是相当吸引我的。 4、请问你是否有男友?他/她和你不在一个城市工作,你如何解决这个问题? 答:是的,我有一个感情很稳定的男友,并且确实我们暂时不在一个地方。从我个人来讲,我确实很希望能有机会两个人在一个地方,不过我不认为这将是我事业前途的羁绊。如果我为了这份感情放弃一份我十分满意的工作,那将会令我和男友之间的?系变得非常沉重。这不是我所希望看到的,也不是我男友所希望看到的。我们希望能够各自拥有各自事业的发展空间,双方都是独立出色的个体,这将会使我们更加欣赏对方。

硬件工程师面试题集(含答案,很全).docx

硬件工程师面试题集 (DSP,嵌入式系统,电子线路,通讯,微电子,半导体) ---ReaLYamede 1下面是一些基本的数字电路知识问题,请简要回答之。 ⑴什么是SetUP和HOld时间? 答:SetUP/Hold Time用于测试芯片对输入信号和时钟信号之间的时间要求。建立时间(SetUP Time)是指触发器的时钟信号上升沿到来以前,数据能够保持稳定不变的时间。输入数据信 号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间通常所说的SetUPTime。如不满足SetUP Time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿到来时,数据才能被打入触发器。保持时间(Hold Time)是指触发器的时钟信号 上升沿到来以后,数据保持稳定不变的时间。如果Hold Time不够,数据同样不能被打入 触发器。 (2) 什么是竞争与冒险现象?怎样判断?如何消除? 答:在组合逻辑电路中,由于门电路的输入信号经过的通路不尽相同,所产生的延时也就会 不同,从而导致到达该门的时间不一致,我们把这种现象叫做竞争。由于竞争而在电路输出 端可能产生尖峰脉冲或毛刺的现象叫冒险。如果布尔式中有相反的信号则可能产生竞争和冒 险现象。解决方法:一是添加布尔式的消去项,二是在芯片外部加电容。 (3) 请画出用D触发器实现2倍分频的逻辑电路 答:把D触发器的输出端加非门接到D端即可,如下图所示: OIJTPUT CLK (4) 什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求? 答:线与逻辑是两个或多个输出信号相连可以实现与的功能。在硬件上,要用OC门来实现(漏极或者集电极开路),为了防止因灌电流过大而烧坏OC门,应在OC门输出端接一上拉电阻(线或则是下拉电阻)。 (5) 什么是同步逻辑和异步逻辑?同步电路与异步电路有何区别? 答:同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系.电路设计可分类为同步电路设计和异步电路设计。同步电路利用时钟脉冲使其子系统同步运 作,而异步电路不使用时钟脉冲做同步,其子系统是使用特殊的“开始”和“完成”信号 使之同步。异步电路具有下列优点:无时钟歪斜问题、低电源消耗、平均效能而非最差效 能、模块性、可组合和可复用性。 ⑺你知道那些常用逻辑电平?TTL与CoMS电平可以直接互连吗? 答:常用的电平标准,低速的有RS232、RS485、RS422、TTL、CMOS、LVTTL、LVCMOS、ECL、ECL、LVPECL 等,高速的有LVDS、GTL、PGTL> CML、HSTL、SSTL 等。 一般说来,CMOS电平比TTL电平有着更高的噪声容限。如果不考虑速度和性能,一般TTL与CMOS器件可以互换。但是需要注意有时候负载效应可能引起电路工作不正常,因为有些

经典面试题分类及答案

一、人际关系题。 例:如何处理与同事(领导)的分歧? 由于每个人的观点、立场、经验阅历、看待和分析问题的方式不同,发生争执是一种正常现象,我会理智的处理好这件事情。 第一,从大局出发,以工作为重,处以公心,冷静对待,不要为此闹情绪,影响工作,也不要闹矛盾,影响团结,首先要塌实做好自己的本分工作,完成组织领导交给我的任务。 第二,及时反思。“横看成岭侧成峰,远近高低各不同”,许多时候,双方的分歧只是看问题的角度不同而已,因此,并不一定我的看法是对的,别人的看法就一定是错的。我会仔细分析对方观点中的可取之处,反思自己观点考虑不周的地方,对自己的观点有一个客观正确的认识。 第三,适时沟通。根据对方的性格,我会选择合适的时间地点方式主动与对方进行真诚的沟通,把矛盾消灭在萌芽状态,保证工作顺利开展。如果对方是性格开朗的类型,我会采用直言建议的方式;如果对方是内向严肃的性格,我会通过委婉的方式或者通过第三方来间接表达自己的看法。 总之,除了明显的违法犯罪行为要坚决抵制以外,我会本着组织性、纪律性的原则,从大局出发,团结同事,求同存异,取长补短(认真执行领导的指示),维护好单位内部的和谐关系,始终使单位充满凝聚力、战斗力。 二、应变能力题 例:一份机密文件不见了,当你准备向领导报告。第2天却又出现在你的抽屉里。你如何处理这件事? 首先,我在平时的工作中还是比较细心谨慎的,以前没有发生过也会尽力避免以后发生此类事件。但如果发生了像题目中的类似事件,我会冷静的妥善处理好: 第一、我还是会及时向领导报告,详细说明整个情况,对于自己的失职作深刻的检讨。 第二、追查资料被何人传阅过,有什么人接触过资料,防止资料内容落入别有用心的人,并及时向领导汇报有关进展情况。 第三、总结经验教训,自我反省,提高对保密工作的认识,严格按照单位的资料归档管理制度办理,防止此类事件再次发生。 总之,作为一名公务员,面对突发事件,要时刻保持头脑清醒,科学分析,准确判断,果断行动,整合资源,调动各种力量,有序应对突发事件,尽量将损失降到最低。 三、活动组织题 例:领导让你组织某次活动,你怎么做? 领导交付给自己这项工作任务,是对自己工作能力的信任,也是我锻炼能力提升自身素质的机会,我一定会按照以下几个步骤认真仔细的完成这项任务: 第一,制定计划方案。“凡事预则立,不预则废”,我会在掌握实际情况的基础上有针对性地拟定一个详细的计划方案,根据活动的主题、性质,对活动的内容、方式、时间、地点、参加人员、经费预算等方面进行合理的安排。然后将该方案上报领导,请领导指正和批示。 第二,实施计划方案。计划方案得到批准后,我会先将有关工作人员召集起来开一个协调会,进行任务分配、人员分工,切实将责任落实到人。活动开始后,总体把握好活动,协同有关工作人员采取多种方式调动参与人员的积极性和主动性,及时纠正活动过程中与原计划方案有偏差的地方,冷静处理意外事件,并及时向领导汇报互动情况,让领导了解活动总体进程。 第三,及时总结。活动结束后,首先做好善后事宜。及时对整个活动进行回顾,总结经验教训,形成书面材料,向领导汇报。此外,我还会拿出当初的计划方案,结合总结分析需要加以完善的地方,以便今后更好的完成类似任务。 总之,经过周密的计划,认真的实施,即使的总结,我相信一定能保质保量地完成领导交给我的任务。 四、社会现象分析题 例:有人说:“一把手绝对真理,二把手相对真理,三把手没有真理”。你如何评价这句话? 我认为“一把手绝对真理,二把手相对真理,三把手没有真理”这句话是片面的,我会辨证的看待这个问题: 第一、这句话反映了当前我国个别地区个别部门确实存在的一种“官本位”的思想,即在单位内部以职位高低定言论可信度的偏颇现象。 第二、这种现象违背了实事求是,一切从实际出发的工作原则,不利于单位决策的民主化、科学化,对单位工作的开展和事业的发展都是不利的。 第三、我们要努力改变这种现象,政府部门应该建立健全完善的监督约束机制,多多利用集体的能力,而不以领导个人的价值趋向为唯一标准。可喜的是,党和政府对这个问题已给予了高度重视,出台了相关的政策措施,如推行民主集中制、听证制等,已取得了明显的成效。 第四、当然作为党和政府选定的一把手,一般社会阅历,处事经验比之其他人更为丰富,所以在领导班子成员之间,有适当的主次,维护一把手的权威,有利于增强领导班子战斗力,有利于提高行政效率。

常见硬件工程师笔试题标准答案

硬件工程师笔试题 一、电路分析: 1、竞争与冒险 在组合逻辑中,在输入端的不同通道数字信号中经过了不同的延时,导致到达该门的时间不一致叫竞争。因此在输出端可能产生短时脉冲(尖峰脉冲)的现象叫冒险。 常用的消除竞争冒险的方法有:输入端加滤波电容、选通脉冲、修改逻辑设计等。 2、同步与异步 同步逻辑就是时钟之间有固定的因果关系。异步逻辑就是各时钟之间没有固定的因果关系。同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。 异步电路:电路没有统一的时钟,有些触发器的时钟输入端与时钟脉冲源相连,只有这些触发器的状态变化与时钟脉冲同步,而其它的触发器的状态变化不与时钟脉冲同步。 异步电路不使用时钟脉冲做同步,其子系统就是使用特殊的“开始”与“完成”信号使之同步 同步就就是双方有一个共同的时钟,当发送时,接收方同时准备接收。异步双方不需要共同的时钟,也就就是接收方不知道发送方什么时候发送,所以在发送的信息中就要有提示接收方开始接收的信息,如开始位,结束时有停止位 3、仿真软件:Proteus 4、Setup 与Hold time Setup/hold time 就是测试芯片对输入信号与时钟信号之间的时间要求。建立时间就是指触发器的时钟信号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就就是建立时间-Setup time、如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器。保持时间就是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间。如果hold time不够,数据同样不能被打入触发器。 5、IC设计中同步复位与异步复位的区别 同步复位在时钟沿采集复位信号,完成复位动作。异步复位不管时钟,只要复位信号满足条件,就完成复位动作。异步复位对复位信号要求比较高,不能有毛刺,如果其与时钟关系不确定,也可能出现亚稳态。 6、常用的电平标准 TTL: transistor-transistor logic gate晶体管-晶体管逻辑门 CMOS:Complementary Metal Oxide Semiconductor互补金属氧化物半导体 LVTTL(Low Voltage TTL)、LVCMOS(Low Voltage CMOS):3、3V、2、5V RS232、RS485 7、TTL电平与CMOS电平

公选10道经典面试题及答案

【竞岗专题】10道经典面试题及满分答案 1、超级女生主持人登上美国某刊物封面,该刊物解释是,中国只有这个节目是民主的,摆脱了中国传统制度,你有什么看法 答:对此,我想谈三点看法: 1、不可否认,超级女生节目取得成功,与她的公开、平等、民主、尊重等文化理念有关。“超级女声、想唱就唱”口号,人人都可参与的“海选”与过关斩将的“竞争”,契合了现代社会最受欢迎的“机会均等和优胜者赢”这两大最基本的社会文化心理。“起点公平”和“机会均等”,从终极意义上说,就是对人的一种最大尊重。是媒体贴近百姓实际生活的鲜活体现;调动了所有观众的热情,其成功也有理所当然了。 2、“超级女声”之所以倍受关注并取得空前的成功,也并不是全靠民主这张牌,原因是多方面的,她有广泛的观众基础;她最大限度地实现了电视节目与观众的互动;她为普通观众架构了一座通向明星的桥梁;她有很好的宣传和策划等等。 3、事实上,“超级女声”中的一些运作也不是绝对的民主和公平,就像它对民歌选手的偏见和明排暗斥。不要说在海选中民歌手就几乎被淘汰殆尽,偶尔一些漏网之鱼在后面的比赛中也是一路坎坷,明显的倾向性评判使得民主、公正也大打折扣。 4、美国之所以要把这一纯娱乐节目和政治挂钩,其目的也很明显,这就是要影响中国人的价值取向,甚至含沙射影指责中国的人权、民主,对此,作为一名公务员,我们要保持清醒的头脑和高度警惕。 2、科学家在研究A物质时无意中发现了B物质,对此,你有什么看法 答:对此我谈三点哲学原理,一点感想: 1、这看似偶然,实质必然,所以这首先揭示了偶然性与必然性的哲学原理,偶然性寓于必然性之中,是必然性的特殊表现形式。 2、这还说明人们对客观规律的认识总是落后于事物的发展变化。任何事物的发展都有规律可循,但这种规律不是一成不变的,世上没有永恒的真理。因此,我们必须与时俱进,不断调整视角,完善制度,甚至改革现有体制。 3、这也说明世间万物不是孤立存在的,是相互联系、相互制肘的。在我们进行社会主义现代化建设时,莫不于此。解决了个人崇拜,推行了实事求是,却出现了思想保守、体制僵化;打开了国门,对外开放,却飞进了西方苍蝇;实现了国家工业化,却形成了一代又一代的农民弱势群体;反哺了农民,却引发了新一轮通货膨胀;发展了经济,却要为天价环保埋单……举不胜举。 4、一点感想。既然B物质的出现是必然的,是客观规律,是不可避免的,那么就要求我们科学家、设计师在研究A物质时能高瞻远瞩、通盘考虑,B物质如果有利,就要加速它的

社会招聘面试-11个经典问题及答案-强力推荐

招聘面试 11 个经典问题及答案 问题一:请你自我介绍一下“请你自我介绍一下请你自我介绍一下” 这个问题的面试方法是:应聘者站起来用五分钟的时间面对大家进行演讲。 我的目的是:了解应聘者的心理承受能力、逻辑思维能力、演讲能力,而他的生平介绍却是其次的,因为,我们在他的简历中已经对其有所了解。应聘者应该做到: 1、介绍内容要与个人简历相一致。 2、表述方式上尽量口语化。 3、要切中要害,不谈无关、无用的内容。 4、条理要清晰,层次要分明。 5、要有训练有素的演讲语气和肢体语言,这是最重要的。 问题二:你有什么业余爱好“你有什么业余爱好你有什么业余爱好?” 我的目的是:了解应聘者的性格、观念、心态、思维的深度等。为了深入了解应聘者的爱好程度,我会提出更加深刻的问题,比如,应聘者喜欢流行音乐,我就会问相关的问题:“您什么时候开始喜欢的?您最喜欢哪个歌手?她的演唱风格如何?她的成名曲是哪一首?您最喜欢她的哪一首歌曲?为什么?”一个真正有这方面业余爱好的应聘者应该对答如流,遗憾的是,许多应聘者不能做到。有的应聘者喜欢读书,我的相关问题就更加尖锐:“您最喜欢哪一位作家?您最喜欢这个作家的哪一本书?这本书的中心思想是什么?您阅读了这本书后有什么感悟?对人生的启示又是什么?”能够应答这类问题的应聘者寥寥无几。应聘者应该做到:1、事先做好这方面的准备。2、最好不要说自己没有业余爱好。 3、不要说自己有那些庸俗的、令人感觉不好的爱好。 问题三:谈谈你的缺点“谈谈你的缺点谈谈你的缺点” 我的目的是:了解应聘者是否认真思考过自己,分析过自己,反省过自己。如果一个人能认清自己的缺点,并有改进的愿望和方法,他可能是一个不可多得的人才。应聘者应该做到:1、不宜说自己没有缺点,因为人一定有缺点的,除非是神。2、不宜说出严重影响所应聘工作的缺点。 3、对于自己真正的缺点,就认真对其进行剖析,并用积极的心态去面对,去修炼。 问题四:举一个人生中最失败的经历“举一个人生中最失败的经历举一个人生中最失败的经历” 我的目的是:了解应聘者的胆量和勇气,是否陷入了选择性知觉的陷阱,是否进行了深刻的反省,是否接受了深刻的教训。应聘者应该做到: 1、不宜说自己没有失败的经历。2、宜说明失败之前自己曾信心白倍、尽心尽力。 3、明确说明失败是主要由主观原因导致的。 4、失败后自己曾做了深刻的反省,很快振作起来,以更加饱满的热情面对以后的学习或工作。 问题五:你为什么选择我们公司“你为什么选择我们公司你为什么选择我们公司?”我的目的是:了解应聘者求职的动机、愿望以及对此项工作的态度。我十分欣赏对我公司很了解的应聘者。应聘者应该做到:1、建议从行业、企业和岗位这三个角度来回答。 2、参考答案——“我十分看好贵公司所在的行业,我认为贵公司十分重视人才,而且这项工作很适合我,相信自己一定能做好。” 问题六:对这项工作,你有哪些可预见的困难“对这项工作你有哪些可预见的困难?”对这项工作,我的目的是:应聘者的预测能力,并有应对方法。绝大多数的应聘者做不到。

硬件工程师笔试题附答案

一、填空题(每题5分,8题,共40分) 1.二极管的导通电压一般是0.7V 。 2.MOS管根据掺杂类型可以分为NMOS 、PMOS 。 3.晶体三极管在工作时,发射结和集电结均处于正向偏置,该晶体管工作在饱和状态。 4.二进制数(11010010)2转换成十六进制数是D2 。 5.贴片电阻上的103代表10k。 6.输出使用OC门或OD门实现线与功能。 7.假设A传输线的特征阻抗是70欧姆,B传输线的特征阻抗是30欧姆,A传输线与B传输线相 连,那么它们之间的反射系数是0.4。(-0.4也可以是正确答案) 8.假设模拟信号的输入带宽是10Hz~1MHz,对信号进行无失真采样的最低频率是 2MHz 。 二、问答题(每题10分,6题,共60分) 1.单片机上电后没有运转,首先要检查什么?(10分) 答案:第一步,测量电源电压是否正常;第二步,测量复位引脚是否正常;第三步,测量外部晶振是否起振。2.请分别画出BUCK和BOOST电路的原理框图。(10分) BUCK电路: BOOST电路: 3.请画出SAR型(逐次逼近型)ADC的原理框图,或者描述SAR型ADC的工作原理。(10 分)

SAR型ADC包括采样保持电路(S/H)、比较器(COMP ARE)、数/模转换器(DAC)、逐次逼近寄存器(SAR REGISTER) 和逻辑控制单元(SAR L OGIC)。模拟输入电压VIN由采样保持电路采样并保持,为实现二进制搜索算法,首先由SAR L OGIC 控制N位寄存器设置在中间刻度,即令最高有效位MSB为“1”电平而其余位均为“0”电平,此时数字模拟转换器DAC输出电压VDAC为0.5VREF,其中VREF为提供给ADC的基准电压。由比较器对VIN和VDAC进行比较,若VIN>VDAC ,则比较器输出“1”电平,N位寄存器的MSB保持“1”电平;反之,若VN

数据分析经典测试题含答案解析

数据分析经典测试题含答案解析 一、选择题 1.某校九年级数学模拟测试中,六名学生的数学成绩如下表所示,下列关于这组数据描述正确的是() A.众数是110 B.方差是16 C.平均数是109.5 D.中位数是109 【答案】A 【解析】 【分析】 根据众数、中位数的概念求出众数和中位数,根据平均数和方差的计算公式求出平均数和方差. 【详解】 解:这组数据的众数是110,A正确; 1 6 x=×(110+106+109+111+108+110)=109,C错误; 21 S 6 = [(110﹣109)2+(106﹣109)2+(109﹣109)2+(111﹣109)2+(108﹣109)2+ (110﹣109)2]=8 3 ,B错误; 中位数是109.5,D错误; 故选A. 【点睛】 本题考查的是众数、平均数、方差、中位数,掌握它们的概念和计算公式是解题的关键. 2.一组数据2,x,6,3,3,5的众数是3和5,则这组数据的中位数是() A.3 B.4 C.5 D.6 【答案】B 【解析】 【分析】 由众数的定义求出x=5,再根据中位数的定义即可解答. 【详解】 解:∵数据2,x,3,3,5的众数是3和5, ∴x=5,

则数据为2、3、3、5、5、6,这组数据为35 2 =4. 故答案为B. 【点睛】 本题主要考查众数和中位数,根据题意确定x的值以及求中位数的方法是解答本题的关键. 3.如图,是根据九年级某班50名同学一周的锻炼情况绘制的条形统计图,下面关于该班50名同学一周锻炼时间的说法错误的是() A.平均数是6 B.中位数是6.5 C.众数是7 D.平均每周锻炼超过6小时的人数占该班人数的一半 【答案】A 【解析】 【分析】 根据中位数、众数和平均数的概念分别求得这组数据的中位数、众数和平均数,由图可知锻炼时间超过6小时的有20+5=25人.即可判断四个选项的正确与否. 【详解】 A、平均数为1 50 ×(5×7+18×6+20×7+5×8)=6.46,故本选项错误,符合题意; B、∵一共有50个数据, ∴按从小到大排列,第25,26个数据的平均值是中位数, ∴中位数是6.5,故此选项正确,不合题意; C、因为7出现了20次,出现的次数最多,所以众数为:7,故此选项正确,不合题意; D、由图可知锻炼时间超过6小时的有20+5=25人,故平均每周锻炼超过6小时的人占总数的一半,故此选项正确,不合题意; 故选A. 【点睛】 此题考查了中位数、众数和平均数的概念等知识,中位数是将一组数据从小到大(或从大到小)重新排列后,最中间的那个数(最中间两个数的平均数),叫做这组数据的中位数,如果中位数的概念掌握得不好,不把数据按要求重新排列,就会错误地将这组数据最中间的那个数当作中位数.

常见硬件工程师笔试题(标准答案)

硬件工程师笔试题 一、电路分析: 1、竞争与冒险 在组合逻辑中,在输入端的不同通道数字信号中经过了不同的延时,导致到达该门的时间不一致叫竞争。因此在输出端可能产生短时脉冲(尖峰脉冲)的现象叫冒险。 常用的消除竞争冒险的方法有:输入端加滤波电容、选通脉冲、修改逻辑设计等。 2、同步与异步 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。 异步电路:电路没有统一的时钟,有些触发器的时钟输入端与时钟脉冲源相连,只有这些触发器的状态变化与时钟脉冲同步,而其它的触发器的状态变化不与时钟脉冲同步。 异步电路不使用时钟脉冲做同步,其子系统是使用特殊的“开始”和“完成”信号使之同步 同步就是双方有一个共同的时钟,当发送时,接收方同时准备接收。异步双方不需要共同的时钟,也就是接收方不知道发送方什么时候发送,所以在发送的信息中就要有提示接收方开 始接收的信息,如开始位,结束时有停止位 3、仿真软件:Proteus 4、Setup 和Hold time Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器 的时钟信号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升 沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间。如果hold time不够,数据同样不能被打入触发器。 5、IC设计中同步复位与异步复位的区别 同步复位在时钟沿采集复位信号,完成复位动作。异步复位不管时钟,只要复位信号满足条件,就完成复位动作。异步复位对复位信号要求比较高,不能有毛刺,如果其与时钟关系 不确定,也可能出现亚稳态。 6、常用的电平标准 TTL:transistor-transistor logic gate晶体管-晶体管逻辑门 CMOS:Complementary Metal Oxide Semiconductor互补金属氧化物半导体 LVTTL(L ow Voltage TTL)、LVCMOS(L ow Voltage CMOS):3.3V、2.5V RS232、RS485 7、TTL电平与CMOS电平 TTL电平和CMOS电平标准

硬件工程师面试题

一、编制原则: 1、应聘者与空缺职位的匹配度(选择合适人选) 2、信度(突破人/时/空因素,结果一致性) 3、效度(面试结果与实际工作绩效的相关度) 4、普遍适用性(通用常规岗位,针对技能、潜力、素质及性格特点等方面) 二、硬件工程师职位考察要素及权重(满分100) (一)应届生招聘 (A类,A1:嵌入式软件工程师,A2:逻辑工程师,A3:算法工程师,A4:PCB工程师,A5:射频工程师,A6:测试工程师) 1、业务技术能力40% 2、沟通表达能力15% 3、抗压能力10% 4、主动学习能力20% 5、稳定性15% 附:A类结构化面试评分表 主要特质要点分值初试复试(一)复试(二)备注 业务能力(40)理论概念10 工具使用10 语言能力5 项目经验12 管理经验3 沟通表达能力(15)逻辑性3 条理性4 反应能力3 总结性5 抗压能力(10)原工作强度5 加班看法2 调节方法3 主动学习能力(20)自我激励2 执行力3 学习计划5 问题解决10 稳定性(15)工作周期5 离职原因5 发展规划5 合计100 岗位匹配度5

面试人 其它补充说明 (二)社会招聘 (B类,B1:嵌入式软件工程师,B2:逻辑工程师,B3:算法工程师,B4:PCB工程师,B5:射频工程师,B6:测试工程师) 1、业务技术能力60% 2、沟通表达能力10% 3、抗压能力10% 4、主动学习能力10% 5、稳定性10% 附:软件测试岗位结构化面试评分表 主要特质要点分值初试复试(一)复试(二)备注 业务能力(60)理论概念10 测试工具15 语言能力10 项目经验20 管理经验5 沟通表达能力(10)逻辑性2.5 条理性2.5 反应能力2.5 总结性2.5 抗压能力(10)原工作强度5 加班看法2 调节方法3 主动学习能力(10)问题解决2 执行力3 学习计划5 稳定性(10)工作周期5 离职原因3 发展规划2 合计100 岗位匹配度5 面试人 其它补充说明 (三)项目经理招聘 (C类)

相关文档
相关文档 最新文档