文档库 最新最全的文档下载
当前位置:文档库 › 哈工大电工自主设计实验报告

哈工大电工自主设计实验报告

哈工大电工自主设计实验报告
哈工大电工自主设计实验报告

姓名班级学号

实验日期节次教师签字成绩

自主实验交通信号灯控制电路

一.实验目的

1. 熟悉74LS192集成计数器的工作原理以及计数的设置;

2.熟悉74LS90集成加法计数器的使用和原理

3. 熟悉74LS138译码器的工作原理以及译码设置;

4. 实现十字路口信号灯的自动控制及倒计时功能

二.总体设计方案或技术路线

1.十字路口交通信号灯变换规则:交通信号灯时控制十字路口交通的主要设施,通常十字

路口相交的两条道路分为主干道和支干道,主干道上车辆通行的时间长于支干道。本实验设计中,我们假设主干道车辆通行45秒,而支干道为25秒,每次绿灯变为红灯的过程中黄灯亮起5秒,进行过渡。由此,我们可以列出十字路口交通信号灯随时间的变化情况,如下表所示:

2.功能简介:本实验所设计的电路利用加减法计数器和译码器,可以实现对十字路口交通

信号灯的控制,使之按如表1所示的规律变化,同时利用七段显示译码器对主干道上的倒计时进行显示。

3.设计思路:由上表可见,十字路口的红绿灯变化分为四个阶段,80秒为一个周期,因此,

我们用一个计数器,配合1HZ的脉冲信号即可实现计时,为了方便七段显示译码器的输出,再按照主干道上信号灯的情况将一个周期分为三个阶段,分别为45s;5s;30s。初始时将减法计数器置位端置为45,开始计数,此时主干路绿灯和支路红灯亮起,计数结束后输出一个脉冲信号,使加法计数器计数,将计数器连接到译码器,通过译码器输出的变化,将减法计数器的置位端置为5,这时主路黄灯和支路红灯亮;再次计数结束后置为30,加法计数器进位,主路红灯和支路黄灯亮;在这个阶段,当减法计数器输出为5时,向加法计数器输入一个脉冲,加法计数器计数加一,主路红灯和支路绿灯亮,但不将减法计数器重新置数。

减法计数器计数结束后,再次向加法计数器输入脉冲,使之再次进位,随即归零。

三.实验电路图

图2 红绿灯控制电路

图3 倒计时数码显示电路

四. 仪器设备名称、型号

可逆计数器74LS192 2个

译码器74LS138 2个

加法计数器74LS90 1个

与非门74LS202个

或非门74LS02 2个

反相器74LS04 2个

导线若干

五.理论分析或仿真分析结果

0到45秒:主路绿灯和支路红灯亮,电子显示器由45开始倒计时;45到50秒:主路黄灯和支路红灯亮,电子显示器由5开始倒计时;50到75秒:主路红灯和支路绿灯亮,电子显示器由30开始倒计时;75到80秒:主路红灯和支路黄灯亮,电子显示器继续倒计时

利用软件对电路进行仿真,结果如图所示:

图4 电路仿真结果

六.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录) 实验步骤:按电路图连接电路,接通电源,观察指示灯和电子显示屏数字随时间周期性变化的情况。

七.实验结论

实验电路成功实现了对十字路口红绿灯的控制及其计时功能,但实验电路没有设置清零端, 只能通过开关电源对电路进行重置。另外由于74ls192的置数端是异步置数端,而首次置数时译码器中传来的信号还没有到达置数端,因此该电路开始运行后的第一个周期和后续的周期是有差别的,但运行一个周期后,从译码器传来的信号周期性变化,虽然始终存在一个时钟信号的差距,但电路可以正常运行。

八.实验中出现的问题及解决对策

1、连接电路时发现计划使用的74ls90芯片无法按照要求实心功能,遂采用74ls161替代,并对电路做的适当的改动,实现了功能。

2、电路连接完成后发现电子显示器始终无显示,指示灯不亮,通过对电路进行排查,发现电路中的与非门未能按计划输出,进一步对其进行排查,发现与非门与电源连接的导线断了,更换导线后正常实现功能

3、连接完成后显示屏正常显示,但两条路上的红灯均无显示,对电路进行分析后发现电路设计时将高电平和低电平同时接到了指示灯上,通过增加或门解决了这个问题

4、老师验收时提出电路缺少复位端,只能通过开关电源复位的问题,需要进一步对实验电路进行改进。

九.本次实验的收获和体会、对电路实验室的意见或建议

本次实验中,我自己设计实验电路、利用软件模拟、在实验室完成实验。通过自行设计实验电路,我对数字电路相关的知识有了更深的认识。在软件仿真过

程中我同时也锻炼了自己的创新能力,我初步掌握了NI Multisim这款软件的使用方法。在动手连接电路,解决实验中出现的问题的过程中,我锻炼了分析问题,解决问题的能力我认为这次实验对我很有益处。

实验室为我们提供了良好的实验条件,相关设备齐全,对我们的学习有很大帮助,美中不足之处在于实验室有少量损坏的器件,与正常器件混杂在一起,难以分辨,对实验操作有一定影响,希望实验室能及时更换损坏器件。

十.参考文献

[1]杨世彦.电工学电子技术[M].机械工业出版社 2008.5

哈工大电工综合设计完整版

时间:2013春季学期班级:1108101学号:1110810104姓名:陈文华 11.驱动七段共阴极LED数码管的译码电路 一、设计要求: (1)输入变量A、B、C来自计数器,按顺序000~111计数。当ABC=000时,数码管全灭;以后要求依次显示H、O、P、E、F、U、L七个字母。 (2)输入变量A、B、C来自计数器,按顺序000~111计数。当ABC=000时,数码管全灭;以后要求依次显示1、1、0、8、1、0、1(或1108102、103、104)七个数字(根据自己的班级号)。 二、设计方案: 1.设计原理及设计方案选择 (1)a.本题目要求来自计数器的变量A、B、C,所以需要一计数器,使其八进制计数。A、B、C输出还不能直接接数码显示器,需要经过一译码器,将具有特定含义的二进制代码译成对应的输出信号,然后根据题目要求对译码器的输出进行逻辑运算,接到数码管显示。 b.根据学过的知识,对于计数模块,异步集成计数器74LS90和同步集成计数器74LS161都能实现要求,本设计采用的是异步集成计数器74LS90。通过接线方式的处理就可以实现八进制计数。 c.对于译码模块,采用的是3线—8线译码器74LS138。将计数器的ABC(D在本次设计中不需要接到输入)输出接到译码器的输入,经过译码器译成对应的输出信号,这样就可以对能实现要求的信号进行逻辑运算了。 为了实现设计要求,需根据要显示的内容和输出信号来进行逻辑运算,如下: 译码输出: C B A Y0Y1Y2Y3Y4Y5Y6Y7 00001111111 00110111111 010******** 01111101111 10011110111 10111111011 11011111101 11111111110 要显示的内容: a.显示H、O、P、E、F、U、L七个字母: a b c d e f g显示字形 0000000数码管全灭 0110111H 1111110O 1100111P

哈工大电子技术实验四人无弃权表决电路(高分版)

姓名XXX 班级1108301 学号xx 实验日期节次 9-11 教师签字成绩 四人无弃权表决电路 1.实验目的 1)掌握74LS20的逻辑功能和使用方法; 2)通过实验,进一步熟悉组合逻辑电路的分析与设计方法。 2.总体设计方案或技术路线 设计一个四人无弃权表决电路(多数赞成则提议通过,即三人以上包括三人),用74LS20来实现。 1)根据任务的要求,设计电路; 2)用代数化简法求出最简的逻辑表达式; 3)根据表达式,画出逻辑电路图,用标准器件(与、或、非)构成电路; 4)最后,用实验来验证设计的正确性。 3.实验电路图 1)ABCD输入端,接数据开关;Z输出端接电平指示器; 2)改变ABCD的组态,记录Z的变化,验证逻辑函数的功能及设计的正确性。 4. 仪器设备名称、型号

1)实验箱 1台2)双踪示波器 1台3)双路直流稳压电源 1台4)数字万用表 1只5)74LS20 3片5.理论分析或仿真分析结果 74LS20管脚图: 逻辑关系式: C AB D Z=ABC+BCD+ACD+ABD=AB BCDACD 逻辑图:

6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录)真值表: A B C D F 00000 00010 00100 00110

7.实验结论 由真值表可知,四人无弃权表决电路设计成功,实现了预期功能。

8.实验中出现的问题及解决对策 实验过程中由于有五个与门,而每个74LS20可实现两个与门,故线路连起来相当复杂,容易混淆,故在连接电路时安排好位置,标记好引脚和接头。 9.本次实验的收获和体会、对电路实验室的意见或建议 此次设计是对经典四人表决电路的一次创新,利用书本上的知识和以前类似实验的设计思路进行了此次实验,锻炼了实践能力,熟悉了组合逻辑电路的设计方法。 这次的实验绝对原创的,是对以前做过的实验的一次创新,复杂了不少,锻炼了能力。 10.参考文献 [1]电工学实验教程/王宇红主编.——北京:机械工业出版社,(重印)

声控灯地设计与制作-哈工大-电子技术课程设计

H a r b i n I n s t i t u t e o f T e c h n o l o g y 课程设计说明书(论文) 课程名称:电子技术课程设计 设计题目:声控开关的设计与制作 院系:电气工程及其自动化 班级:1406111 设计者:元胜 学号:1140610319 指导教师:吕超 设计时间:2016年12月5-18日 工业大学

工业大学课程设计任务书

*注:此任务书由课程设计指导教师填写。

声控灯的设计与制作 1设计任务及原理 设计任务基本要求:设计一个声控开关,控制对象为发光二极管,接收到一定强度的声音后,声控开关点亮发光二级管,灯亮时间可调。控制延时时间用数字显示。 扩展要求:发光二极管点亮时间延时显示。 1.1设计原理 声控灯是将声音信号转换为电信号、电信号再转换为光信号的装置。 输入部分可由一个驻极体话筒实现。话筒的高分子极化膜生产时就注入了一定的永久电荷。在声波的作用下,极化膜随着声音震动,电容是随声波变化。于是电容两极间的电压就会成反比的变化。将电容两端的电压取出来,就可以得到和声音对应的电压了。但是这个电压信号非常小,不能驱动LED灯。对这个电压信号进行放大、整形,才能得到足够大的电压。 声控灯的延时可以由一个单稳态触发电路实现。单稳态电路的暂态时间就是发光二极管的发光持续时间。用前面经放大的电压作为触发脉冲输送给单稳态触发电路,会得到一个持续特定时间的电压输出。这个输出来驱动发光二极管,就达到了声控、发光的目的。 计数器部分首先需要一个时钟源。时钟源脉冲可由多谐振荡器获得。将单稳态电路的输出与时基脉冲结合,控制计数器的计数与清零,就可以使计数部分与发光部分同步工作。 计数结果再经译码输送给共阳极数码管,显示出来。 2设计过程 2.1声控灯电路原理: 当驻极体话筒接受到一定强度的声音信号时,声音信号转换为电压信号,经三极管放大、施密特触发器整形后,触发单稳态延时电路,产生一个宽度可调的脉冲信号,驱动发光二极管发光。同时,该脉冲信号作为选通信号,使计数器计数,并用数码管显示延时时间。电路的流程图如图 1所示:

哈工大_控制系统实践_磁悬浮实验报告

研究生自动控制专业实验 地点:A区主楼518房间 姓名:实验日期:年月日斑号:学号:机组编号: 同组人:成绩:教师签字:磁悬浮小球系统 实验报告 主编:钱玉恒,杨亚非 哈工大航天学院控制科学实验室

磁悬浮小球控制系统实验报告 一、实验内容 1、熟悉磁悬浮球控制系统的结构和原理; 2、了解磁悬浮物理模型建模与控制器设计; 3、掌握根轨迹控制实验设计与仿真; 4、掌握频率响应控制实验与仿真; 5、掌握PID控制器设计实验与仿真; 6、实验PID控制器的实物系统调试; 二、实验设备 1、磁悬浮球控制系统一套 磁悬浮球控制系统包括磁悬浮小球控制器、磁悬浮小球实验装置等组成。在控制器的前部设有操作面板,操作面板上有起动/停止开关,控制器的后部有电源开关。 磁悬浮球控制系统计算机部分 磁悬浮球控制系统计算机部分主要有计算机、1711控制卡等; 三、实验步骤 1、系统实验的线路连接 磁悬浮小球控制器与计算机、磁悬浮小球实验装置全部采用标准线连接,电源部分有标准电源线,考虑实验设备的使用便利,在试验前,实验装置的线路已经连接完毕。 2、启动实验装置 通电之前,请详细检察电源等连线是否正确,确认无误后,可接通控制器电源,随后起动计算机和控制器,在编程和仿真情况下,不要启动控制器。 系统实验的参数调试

根据仿真的数据及控制规则进行参数调试(根轨迹、频率、PID 等),直到获得较理想参数为止。 四、实验要求 1、学生上机前要求 学生在实际上机调试之前,必须用自己的计算机,对系统的仿真全部做完,并且经过老师的检查许可后,才能申请上机调试。 学生必须交实验报告后才能上机调试。 2、学生上机要求 上机的同学要按照要求进行实验,不得有违反操作规程的现象,严格遵守实验室的有关规定。 五、系统建模思考题 1、系统模型线性化处理是否合理,写出推理过程? 合理,推理过程: 由级数理论,将非线性函数展开为泰勒级数。由此证明,在平衡点)x ,(i 00对 系统进行线性化处理是可行的。 对式2x i K x i F )(),(=作泰勒级数展开,省略高阶项可得: )x -)(x x ,(i F )i -)(i x ,(i F )x ,F(i x)F(i,000x 000i 00++= )x -(x K )i -(i K )x ,F(i x)F(i,0x 0i 00++= 平衡点小球电磁力和重力平衡,有 (,)+=F i x mg 0 |,δδ===00 i 00 i i x x F(i,x) F(i ,x )i ;|,δδ===00x 00i i x x F(i,x)F (i ,x )x 对2 i F(i,x )K()x =求偏导数得:

哈工大电工设计报告参考答案 2

2012秋季学期《电工技术I》大作业 (1108101~104) 班级: 1108103 学号: 姓名: 成绩:

继电接触器和可编程控制器综合设计题目 有一运料小车在A、B两地来回运行,其中A地为装料处,设有限位开关ST1,每次装料时间为30s;B地为卸料处,设有限位开关ST2,每次卸料时间为20s。 小车运行控制分手控操作和自控操作。 控制要求: (1)手动操作:能手动控制小车向A地运行或向B地运行。 (2)自控操作:当小车启动时,有一物料检测传感器检测小车料箱是否有料,如果有料,该传感器的常开触点闭合,小车自动向B地运行;如果无料,该传感器的常闭触点闭合,小车自动向A地运行。小车到达B地限位开关ST2处停车20s卸料,然后自动驶向A地;小车到达A地限位开关ST1处停车30s装料,然后再自动返回B地卸料。如此循环往复。 (3)停车控制:小车在自动往返运行过程中,均可用手动开关令其停车。再次启动后,小车重复(2)中内容。 设计要求: (1)设计控制该小车运行的继电接触器控制电路(包括主电路和控制电路); (2)设计控制该小车运行的PLC控制梯形图程序并画出外部接线图(注意进行I/O分配)。 (3)写出综合设计报告。 限位开关限位开关

PLC控制梯形图如下:

I/O分配如下: 1、手动操作 无论小车是否运行,只要按下SB4,KM1将会通电,其常闭触点断开,常开触点闭合,如果此前KM2处于通电状态,这个时候,KM2也将会断电,其常闭触点也会闭合。从而KM1实现自锁,并向A点运行,直至按下SB1或触到行程开关ST1。此后如果不按下SB4或SB5,小车会处于自动运行状态。 2、自动操作 如果小车中有料,则KM闭合,线圈KM0通电,则其常闭触点断开、常开触点闭合,KM2通电,并实现自锁与互锁。向B点运行。如果小车中无料,则KM 断开,线圈KM0通电,则其常闭触点断开、常开触点闭合,KM1通电,并实现自锁与互锁。向A点运行。启动时,如果小车先向A点运行,到达A点后行程开关ST1的常开触点闭合,常闭触点断开。KM1断电的同时,KT1开始计时。30S后,常开延时闭合开关ST1闭合,同时小车中因为有料而KM0通电,小车开始往B点运行,并实现自锁与互锁。到达B点后,使行程开关ST2的常闭触点断开,常开触点闭合,小车停止运行,KT2开始计时。20S后,常开延时闭合开关ST2闭合,同时小车中因为无料而K断电,小车开始往A点运行,并实现自锁与互锁。从此在A、B间往复运行,除非对其手动控制或按下SB0。 3、停车控制 按下SB0即可实现。

哈工大电路自主设计实验二端口网络参数的测定

二端口网络参数的测定 一、实验目的 1.加深理解双口网络的基本理论。 2.学习双口网络Y 参数、Z 参数及传输参数的测试方法。 3.验证二端口网络级联后的传输参数与原二端口网络传输参数的关系。 二、原理说明 1.如图2-12-1所示的无源线性双口网络,其两端口的电压、电流四个变量之间关系,可用多种形式的参数方程来描述。 图2-12-1 (1)若用Y 参数方程来描述,则为 ()()()(),即输入端口短路时令,即输入端口短路时令,即输出端口短路时令,即输出端口短路时令其中0I 0I 0I 0I 12 2 2212 1 1221 2 2121 1 1122212122121111== ======+=+=U U Y U U Y U U Y U U Y U Y U Y I U Y U Y I 由上可知,只要在双口网络的输入端口加上电压,令输出端口短路,根据上面的前两个公式即可求得输入端口处的输入导纳Y 11和输出端口与输入端口之间的转移导纳Y 21。 同理,只要在双口网络的输出端口加上电压,令输入端口短路,根据上面的后两个公式即可求得输出端口处的输入导纳Y 22和输入端口与输出端口之间的转移导纳Y 12。 (2)若用Z 参数方程来描述,则为

()()()(),即输入端口开路时令,即输入端口开路时令,即输出端口开路时令,即输出端口开路时 令其中 0U Z 0U Z 0U Z 0U 12 2 2212 1 1221 2 212111122212122121111== ======+=+=I I I I I I I I Z I Z I Z U I Z I Z U 由上可知,只要在双口网络的输入端口加上电流源,令输出端口开路,根据上面的前两个公式即可求得输出端口开路时输入端口处的输入阻抗Z 11和输出端口与输入端口之间的开路转移阻抗Z 21。 同理,只要在双口网络的输出端口加上电流源,令输入端口开路,根据上面的后两个公式即可求得输入端口开路时输出端口处的输入阻抗Z 22和输入端口与输出端口之间的开路转移阻抗Z 12。 (3)若用传输参数(A 、T )方程来描述,则为 ()()()(),即输出端口短路时令,即输出端口开路时令,即输出端口短路时令,即输出端口开路时令其中0I D 0I C 0U B 0U A 221s 220 10 221s 220 10 221221=-= ===-===-=-=U I I U U I I U DI CU I BI AU U s s 由上可知,只要在双口网络的输入端口加上电压,令输出端口开路或短路,在两个端口同时测量电压和电流,即可求出传输参数A 、B 、C 、D ,这种方法称为同时测量法。 2.测量一条远距离传输线构成的双口网络,采用同时测量法就很不方便,这时可采用分别测量法,即先在输入端口加电压,而将输出端口开路或短路,在输入端口测量其电压和电流,由传输方程得 () () ,即输出端口短路时令,即输出端口开路时令00111101010======2s s s 2U D B I U R I C A I U R 然后在输出端口加电压,而将输入端口开路或短路,在输出端口测量其电压和电流,由

哈工大自动控制原理 大作业

自动控制原理 大作业 (设计任务书) 姓名: 院系: 班级: 学号: 5. 参考图5 所示的系统。试设计一个滞后-超前校正装置,使得稳态速度误差常数为20 秒-1,相位裕度为60

度,幅值裕度不小于8 分贝。利用MATLAB 画出 已校正系统的单位阶跃和单位斜坡响应曲线。 + 一.人工设计过程 1.计算数据确定校正装置传递函数 为满足设计要求,这里将超前滞后装置的形式选为 ) 1)(() 1)(1()(2 12 1T s T s T s T s K s G c c ββ++++= 于是,校正后系统的开环传递函数为)()(s G s G c 。这样就有 )5)(1()(lim )()(lim 00++==→→s s s K s sG s G s sG K c c s c s v 205 ==c K 所以 100=c K 这里我们令100=K ,1=c K ,则为校正系统开环传函) 5)(1(100 )(++= s s s s G

首先绘制未校正系统的Bode 图 由图1可知,增益已调整但尚校正的系统的相角裕度为? 23.6504-,这表明系统是不稳定的。超前滞后校正装置设计的下一步是选择一个新的增益穿越频率。由)(ωj G 的相角曲线可知,相角穿越频率为2rad/s ,将新的增益穿越频率仍选为2rad/s ,但要求2=ωrad/s 处的超前相角为? 60。单个超前滞后装置能够轻易提供这一超前角。 一旦选定增益频率为2rad/s ,就可以确定超前滞后校正装置中的相角滞后部分的转角频率。将转角频率2/1T =ω选得低于新的增益穿越频率1个十倍频程,即选择2.0=ωrad/s 。要获得另一个转角频率)/(12T βω=,需要知道β的数值, 对于超前校正,最大的超前相角m φ由下式确定 1 1 sin +-= ββφm 因此选)79.64(20 ==m φβ,那么,对应校正装置相角滞后部分的极点的转角频率为 )/(12T βω=就是01.0=ω,于是,超前滞后校正装置的相角滞后部分的传函为 1 1001 520 01.02.0++=++s s s s 相角超前部分:由图1知dB j G 10|)4.2(|=。因此,如果超前滞后校正装置在2=ωrad/s 处提供-10dB 的增益,新的增益穿越频率就是所期望的增益穿越频率。从这一要求出发,可 以画一条斜率为-20dB 且穿过(2rad/s ,-10dB )的直线。这条直线与0dB 和-26dB 线的交点就确定了转角频率。因此,超前部分的转角频率被确定为s rad s rad /10/5.021==ωω和。 因此,超前校正装置的超前部分传函为 )1 1.01 2(201105.0++=++s s s s 综合校正装置的超前与之后部分的传函,可以得到校正装置的传递函数)(S G c 。 即) 1100)(11.0() 15)(12(01.02.0105.0)(++++=++++= s s s s s s s s s G c 校正后系统的开环传递函数为

哈工大电路自主设计实验

姓名 班级 学号 实验日期 节次 教师签字 成绩 影响RLC 带阻滤波器性能参数的因素的研究与验证 1.实验目的 (1)学习带阻滤波器的设计方法 (2)测量RLC 带阻滤波器幅频特性曲线 (3)研究电阻、电容和品质因素Q 对滤波器性能的影响 (4)加深对滤波器滤波概念的理解 2.总体设计方案或技术路线 (1)理论推导,了解滤波器的主要性能参数及与滤波器性能有关的因素 (2)设计RLC 带阻滤波器电路图 (3)研究电阻R 对于滤波器参数的影响 (4)研究电容C 对于滤波器参数的影响 (5)研究电感L 对于滤波器参数的影响 (6)合理设计实验测量,结合电容C 和电感L 对滤波器参数的影响 (7)将实际测量结果与理论推导作对比,并分析实验结果 3.实验电路图 R1V- V+

4.仪器设备名称、型号 函数信号发生器 1台 FLUKE190-104数字便携式示波表 1台 十进制电阻箱 1只 十进制电容箱 1只 十进制电感箱 1只 5.理论分析或仿真分析结果 带阻滤波器是指能通过大多数频率分量、但将某些范围的频率分量衰减到极低水平的滤波器,与带通滤波器的概念相对。 理想带阻滤波器在阻带内的增益为零。带阻滤波器的中心频率f o,品质因素Q和抑制带宽BW之间的关系为 仿真结果: R=2000Ω C=0.01uf L=0.2H

R=500Ω C=0.01uf L=0.2H

R=2000Ω C=0.05uf L=0.2H

R=2000Ω C=0.01uf L=0.1H R=2000Ω C=0.01uf L=0.5H

改变R时对比图 改变C时对比图 改变L时对比图 6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录) (1)电阻R对于滤波器参数的影响 任务1:电路如图所示,其中信号源输出Us=5V,电容C=0.01uF,电感L=0.2H,根据下表所示,选择不同电阻值测量输出幅频特性

哈工大电子技术实验四人无弃权表决电路(高分版)

姓名XXX 班级1108301 学号11108301xx 实验日期 6.5 节次9-11 教师签字成绩 四人无弃权表决电路 1.实验目的 1)掌握74LS20的逻辑功能和使用方法; 2)通过实验,进一步熟悉组合逻辑电路的分析与设计方法。 2.总体设计方案或技术路线 设计一个四人无弃权表决电路(多数赞成则提议通过,即三人以上包括三人),用74LS20来实现。 1)根据任务的要求,设计电路; 2)用代数化简法求出最简的逻辑表达式; 3)根据表达式,画出逻辑电路图,用标准器件(与、或、非)构成电路; 4)最后,用实验来验证设计的正确性。 3.实验电路图 1)ABCD输入端,接数据开关;Z输出端接电平指示器; 2)改变ABCD的组态,记录Z的变化,验证逻辑函数的功能及设计的正确性。 4. 仪器设备名称、型号 1)实验箱 1台 2)双踪示波器 1台 3)双路直流稳压电源 1台 4)数字万用表 1只 5)74LS20 3片

5.理论分析或仿真分析结果 74LS20管脚图: 逻辑关系式: C AB D Z=ABC+BCD+ACD+ABD=AB BCDACD 逻辑图:

6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录)真值表:

7.实验结论 由真值表可知,四人无弃权表决电路设计成功,实现了预期功能。 8.实验中出现的问题及解决对策 实验过程中由于有五个与门,而每个74LS20可实现两个与门,故线路连起来相当复杂,容易混淆,故在连接电路时安排好位置,标记好引脚和接头。 9.本次实验的收获和体会、对电路实验室的意见或建议 此次设计是对经典四人表决电路的一次创新,利用书本上的知识和以前类似实验的设计思路进行了此次实验,锻炼了实践能力,熟悉了组合逻辑电路的设计方法。 这次的实验绝对原创的,是对以前做过的实验的一次创新,复杂了不少,锻炼了能力。 10.参考文献 [1]电工学实验教程/王宇红主编.——北京:机械工业出版社,2009.8(2012.1重印)

哈工大 电工大作业

电子技术课程设计一评分:数字显示电子钟 班级: 学号: 姓名: 日期:2015年月日 一、题目:数字显示电子钟 二、设计要求:

1) LED数码管显示小时、分、秒; 2)可以快速校准小时、分;秒计时可以校零; 3)最大显示为23小时59分59秒; 4)秒脉冲信号由1MHz信号经分频器产生; 三、电气原理图 上图为时钟电路总图,电路由秒时钟信号发生器、计时电路和校时电路构成。1)时钟信号发生器部分如下图所示;output端输出1Hz脉冲信号,其为上图中方波脉冲发生电路; 2)计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;用数码管显示时间的译码结果; 3)校时电路采用开关控制秒时钟信号为校时脉冲以完成校时。

四、各功能块的原理说明 1)秒计时器及秒计时校零部分 由一个十进制计数器和一个六进制计数器串联而成为六十进制计数器。在电路设计中采用是74LS161反馈预置法来实现十进制与六进制功能。 图片底部按钮为秒计时校零按钮,按下按钮时,通过与门将LOAD 端置零实现秒计时器的置零

2)分、时计时器及校准部分 时计时器是由两片74LS161级联而成的二十四进制的计数器,分计时器是由两片74LS161级联而成的六十进制的计数器,采用的是反馈复位法。图片中两个计时器下部为时、分校准按钮,按动按钮相当于提供手动的脉冲,通过按动按钮,实现两个计数器的示数的改变,进而实现时间的校准。 3)秒时钟信号发生器 如图为秒脉冲信号发生器,由分频器6次分频1MHz信号产生秒脉冲,每次1/10分频,电路左上角为1MHz信号输入,output为1Hz信号输出

哈工大数字电路实验报告实验一

数字逻辑电路与系统上机实验报告 实验一组合逻辑电路的设计与仿真 学校:哈尔滨工业大学 院系:电信学院通信工程系 班级:1205102 学号:11205102 姓名: 哈尔滨工业大学

实验一组合逻辑电路的设计与仿真 2.1 实验要求 本实验练习在Maxplus II环境下组合逻辑电路的设计与仿真,共包括5个子实验,要求如下:

2.2三人表决电路实验 2.2.1 实验目的 1. 熟悉MAXPLUS II原理图设计、波形仿真流程 2. 练习用门电路实现给定的组合逻辑函数 2.2.2 实验预习要求 1. 预习教材《第四章组合逻辑电路》 2. 了解本次实验的目的、电路设计要求 2.2.3 实验原理 设计三人表决电路,其原理为:三个人对某个提案进行表决,当多数人同意时,则提案通过,否则提案不通过。 输入:A、B、C,为’1’时表示同意,为’0’时表示不同意; 输出:F,为’0’时表示提案通过,为’1’时表示提案不通过; 波形仿真。 2.2.4 实验步骤 1. 打开MAXPLUS II, 新建一个原理图文件,命名为EXP2_ 2.gdf。 2. 按照实验要求设计电路,将电路原理图填入下表。

制输入信号A、B、C的波形(真值表中的每种输入情况均需出现)。 4. 运行仿真器得到输出信号F的波形,将完整的仿真波形图(包括全部输入输

2.3 译码器实验 2.3.1实验目的 熟悉用译码器设计组合逻辑电路,并练习将多个低位数译码器扩展为一个高位数译码器。 2.3.2实验预习要求 1. 预习教材《4-2-2 译码器》一节 2. 了解本次实验的目的、电路设计要求 2.3.3实验原理 译码器是数字电路中的一种多输入多输出的组合逻辑电路,负责将二进制码或BCD码变换成按十进制数排序的输出信息,以驱动对应装置产生合理的逻辑动作。商品的译码器品种较多,有2-4线、3-8线、4-10线及4-16线等。本实验练习对双2-4线译码器74LS139的扩展,并用其实现特定的组合逻辑。74LS139包含两个2-4线译码器,其输入输出如下: 74LS139中译码器1真值表如下: 74LS139中译码器2真值表如下:

哈工大电工自主设计实验-彩灯控制

姓名班级学号 实验日期节次教师签字成绩 实验名称:循环彩灯控制电路设计 一.实验目的 1.巩固和加深所学电子技术课程的基础知识,提高综合运用所学知识的能力; 2.培养学生的自主学习能力、实践能力和创新能力; 3.通过对设计方案的分析、元件的选择及对电路的调试等环节,培养自主进行科学实验的能力。 二.总体设计方案或技术路线 1.整体设计方案 (1)目标功能: 控制及输出元件设置:左移按钮A、右移按钮B、复位按钮C,彩灯L0~L7,数码管一个,实验箱提供的1Hz方波作为时钟脉冲。 功能要求: 1、按下复位按钮C,八位彩灯全灭,数码管显示数字清零。 2、复位后,按住左移按钮A一段时间,则从彩灯行的右端开始亮起并随时钟脉冲左移,亮起彩灯的个数视按住按钮A的时长而定,松开按钮A后亮起的灯的总个数不变,且在彩灯行中一直环状循环移动。 3、复位后,按下右移按钮B,变化方式与按下A时对称。 4、数码管显示当次操作所点亮的彩灯个数。 (2)技术路线:八位彩灯通过两个74LS194芯片的输出口控制亮灭,因74LS194有移位操作故容易实现流水灯的移动方式。按钮A和B既通过DSL/R控制彩灯亮起,又与时钟脉冲通过与非门处理送入计数器74LS161,再通过集成数码显示管显示出亮起彩灯的个数(0~8)。三个主要芯片统一使用按钮C进行复位。再将A、B给出的信号接至J-K触发器的J、K端,输出Q与-Q用于控制74LS194的左移/右移,接至S1/S0端。对于未经复位按下A/B键或同时按下A、B键的情况不予考虑。 2.设计原理 电路主要分为两部分:以74LS194为主的彩灯控制部分;以74LS161为主的计数部分。 彩灯控制部分:彩灯L0~L3分别由74LS194<1>的Q0~Q3控制,彩灯L4~L7分别由74LS194<2>的Q0~Q3控制。将74LS194<2>的右移输入端DSR<2>与74LS194<1>的Q3相连,再将74LS194<1>的左移输入端DSL<1>与74LS194<2>的Q0相连,这样左移和右移时信号都能在芯片间传递,完成两部分彩灯的接续。同理若将DSL<2>与74LS194<1>的Q0相连、DSR<1>与74LS194<2>的Q3相连,则彩灯链闭合,但因DSR<1>、DSL<2>还要与开关电平信号相连,为避免开关电平信号影响彩灯L0与L7,故用或门隔开,使得L7和信号B均能对DSR<1>作用,L0和信号A均能对DSL<2>作用。然后是左移右移操作的控制。此处采用一个J-K触发器,将信号A接入K端,信号B接入J端,输出Q接至两个74LS194的S0端,Q非接至两个74LS194

(完整版)哈工大-数值分析上机实验报告

实验报告一 题目:非线性方程求解 摘要:非线性方程的解析解通常很难给出,因此线性方程的数值解法就尤为重要。本实验采用两种常见的求解方法二分法和Newton法及改进的Newton法。 前言:(目的和意义) 掌握二分法与Newton法的基本原理和应用。 数学原理: 对于一个非线性方程的数值解法很多。在此介绍两种最常见的方法:二分法和Newton法。 对于二分法,其数学实质就是说对于给定的待求解的方程f(x),其在[a,b]上连续,f(a)f(b)<0,且f(x)在[a,b]内仅有一个实根x*,取区间中点c,若,则c恰为其根,否则根据f(a)f(c)<0是否成立判断根在区间[a,c]和[c,b]中的哪一个,从而得出新区间,仍称为[a,b]。重复运行计算,直至满足精度为止。这就是二分法的计算思想。

Newton法通常预先要给出一个猜测初值x0,然后根据其迭代公式 产生逼近解x*的迭代数列{x k},这就是Newton法的思想。当x0接近x*时收敛很快,但是当x0选择不好时,可能会发散,因此初值的选取很重要。另外,若将该迭代公式改进为 其中r为要求的方程的根的重数,这就是改进的Newton法,当求解已知重数的方程的根时,在同种条件下其收敛速度要比Newton法快的多。 程序设计: 本实验采用Matlab的M文件编写。其中待求解的方程写成function的方式,如下 function y=f(x); y=-x*x-sin(x); 写成如上形式即可,下面给出主程序。 二分法源程序: clear %%%给定求解区间 b=1.5; a=0;

%%%误差 R=1; k=0;%迭代次数初值 while (R>5e-6) ; c=(a+b)/2; if f12(a)*f12(c)>0; a=c; else b=c; end R=b-a;%求出误差 k=k+1; end x=c%给出解 Newton法及改进的Newton法源程序:clear %%%% 输入函数 f=input('请输入需要求解函数>>','s') %%%求解f(x)的导数 df=diff(f);

哈工大DSP大作业

DSP-F2812的最小系统设计 姓名 学号 班级 时间

一、设计目的: TMS320F2812DSP是TI公司一款用于控制的高性能、多功能、高性价比的32位定点DSP。它整合了DSP和微控制器的最佳特性,集成了事件管理器,A/D转换模块、SCI通信接口、SPI外设接口、eCAN 总线通信模块、看门狗电路、通用数字I/O口、多通道缓冲串口、外部中断接口等多个功能模块,为功能复杂的控制系统设计提供了方便,同时由于其性价比高,越来越多地被应用于数字马达控制、工业自动化、电力转换系统、医疗器械及通信设备中。 通过本课程的学习,我对DSP的各个模块有了较为深入的了解,希望可以通过对最小系统的设计,进一步加深对DSP的学习,能在实践中运用DSP,提高自己的动手实践能力。 二、设计思路 所谓最小系统就是由主控芯片加上一些电容、电阻等外围器件构成,其能够独立运行,实现最基本的功能。为了验证DSP的最基本的功能,我设计了如下单元:有源电路的设计、复位电路及JATG下载口电路的设计、外扩RAM的设计、串口电路的设计、外扩A/D模块电路的设计。 三、详细设计步骤和原理 1、电源电路的设计 TMS320F2812工作时所要求的电压分为两部分:3.3V的Flash电压和1.8V的内核电压。TMS320F2812对电源很敏感,所以在此推荐

选择电压精度较高的电源芯片TPS767D318。TPS767D318芯片输入电压为+5V,芯片起振,正常工作之后,能够产生3.3V和1.8V两种电压电压供DSP使用。如下图所示: 2、复位电路及JATG下载口电路的设计 考虑到TPS767D301芯片自身能够产生复位信号,此复位信号可以直接供DSP芯片使用,所以不用为DSP设置专门的复位芯片。 在实际设计过程中,考虑到JATG下载口的抗干扰性,在与DSP 相连接的接口均需要采用上拉设计。

哈工大威海计算机网络实验报告1资料

计算机网络与通信实验报告(一)学号姓名班级报告日期 2015.04.15 实验内容网络常用命令的使用 实验目的1.熟悉网络命令的使用,例如ping,tracert,netstat,ipconfig等,对结果进行分析判断。 2.熟悉dns的层次查询,以及smtp协议。 实验预备知识结合实验报告相关知识以及老师课堂演示、笔记。 实验过程描述1.按照实验报告步骤所指,一步步熟悉ping tracert ipconfig 等网络命令,并对结果进行相应分析、截图。 2.Dns层次查询时,首先网上搜索全球13 个根域名服务器的ip,选择其中一个ip 对学校主页https://www.wendangku.net/doc/765180183.html, 进行层次分析,依次进行cn https://www.wendangku.net/doc/765180183.html, https://www.wendangku.net/doc/765180183.html, https://www.wendangku.net/doc/765180183.html, 的域名分析,最终得到主页ip,然后使用ping命令ping得主页ip 相比较,结果一致,查询成功。 3.熟悉掌握SMTP协议。Dos 命令下依次输入telnet相关命令,并使用事先转换成base64 的用户名、密码登陆邮箱。登陆成功后给自己的邮箱发送信息,最后退出。操作、邮箱截图如下。 实验结果见表格下方截图。 实验当中问题及解决方法1、telnet命令刚开始dos无法识别,属于不认识的命令。上网查询资料后,在控制面板中设置后成功解决。 2、熟悉SMTP协议时,telnet 登陆邮箱并发送信件,期间出现好多错误,比如单词拼写错误,指令错误。重复多次后最终成功实现。 成绩(教师打分)优秀良好及格不及格

实验相关截图 一、网络命令的使用 1.ping 命令

2.tracert 命令

哈工大电工技术 试题

哈尔滨工业大(威海) 2003 /2004 学年 秋 季学期 电工技术 试题(A)  题号 一 二 三 四 五 六 七 八 九 十 总分 附加题 分数 一、选择与填空( 20分 ,1-7每题 2分,8题每空2分) 1.图(1)所示电路中,a 、b 间的等效电阻为(4?)。   (1) (2)  2.试计算图(2)所示电路中的 A点的电位为(5V)。 3.将下图所示电路的ab 二端网络化成戴维南等效电路。 4.三相异步电动机的额定转速为 1460r/min 。当负载转矩为额定转矩的一 半时,电动机的转速为(1480r/min )。 姓名 班级: 注 意 行 为 规 范 遵 守 考 试 纪 律 10V I +-a b 0.5 I 1k Ω 1k Ω

5.电路如图所示,已知X L =R=X C ,并已知安培计A 的读数为5A ,则A 1的读数为(52A ),A 2的读数为(5A )。 6.有一交流铁心线圈,线圈匝数加倍,线圈的电阻及电源电压保持不变。铁心的磁感应强度将(增大、减小、不变),线圈中的电流将(增大、减 小 、不变) 7.将R L =8Ω的扬声器接在输出变压器的副绕组上,已知N1=300匝,N2=100匝,信号源电动势E=6V,内阻R0=100Ω,扬声器得到的功率为 (0.0876W)。 8.三相异步电动机的额定转速为1470转/分,电源电压为380V,三角形联接,功率为30kW ,效率为93%,功率因数为0.85。试计算额定转矩为(194.90N m ?);额定转差率为( 2% );额定电流为( 57.66A )。 二、图中N为无源电阻网络,已知当US=10V,IS=0时,UX=10V;当US=0,IS=1A时,UX=20V。求当US=20V,IS=3A时,UX为多少。(7分)  解: 由线性电路的叠加定理得: a s U + b s I = c x V 当V V s 10=时 V U I s s 10,0== 即 10a=10c + - U s + - U x

哈工大数字逻辑大作业

汽车尾灯控制器 信安一班 1150320101-孙晨1150810613-李秋豪1152210121-路祥鹏 (按笔画顺序排列) 注:任何人可以自由的复制、修改、分发本文。但是如果您的版本中含有附录的参考图片:1.在用于非商业、非盈利、非广告性目的时需注明作者及出处“百度百科”。2.在用于商业、盈利、广告性目的时需征得作者同意,并注明作者姓名、授权范围及出处“百度百科”。GMT+8 2016-12-07 20:20

一、目录 设计要求------------------------------------------------------------- 3 工作原理,系统方框图----------------------------------------------- 3 各部分选定方案及说明----------------------------------------------- 5 总体设计图与仿真结果----------------------------------------------- 9 设计心得与总结------------------------------------------------------ 17 参考文献------------------------------------------------------------- 17 附录:总体器件表及其功能表、管脚分布----------------------------- 18

二、设计要求 设计一个汽车尾灯控制器。汽车尾部左右两侧各有3个指示灯。根据汽车运行情况,指示灯有4+2种显示模式: (1)汽车正向行驶,所有指示灯全部熄灭。 (2)汽车右转弯,右侧的三个指示灯按右循环模式顺序点亮。 (3)汽车左转弯,左侧的三个指示灯按左循环模式顺序点亮。 (4)临时刹车,左右两侧的指示灯同时处于闪烁状态。 (5)倒车状态,右侧的三个指示灯按右循环模式顺序点亮,同时左侧的三个指示灯按左循环模式顺序点亮。 (6)故障状态,所有灯全亮且不闪烁。 三、工作原理,系统方框图 大致原理:(详细介绍见第四部分) 该器件有六种状态,所以应该使用三个开关状态L1、L2、L3来表达。 对于正常行驶状态,灯全灭,即对所有的灯给低电平。 对于汽车右转弯,右侧的三个指示灯按右循环模式顺序点亮,可以采取三进制计数器(十进制改造)+三八译码器来实现,其中三进制计数器的输出作为译码器的输入,译码器的输出决定三个右侧灯的亮暗。 对于汽车左转弯,原理同汽车右转弯的实现方法。 对于临时刹车,可以使用CP信号直接决定六个灯的亮暗,达到闪烁的目的。 对于故障状态,对所有的灯置高电平。

哈工大数字电路实验报告实验二

数字逻辑电路与系统上机实验讲义 实验二时序逻辑电路的设计与仿真 课程名称:数字逻辑电路与系统 院系:电子与信息工程学院 班级:1205102 姓名: 学号:1120510 教师:吴芝路 哈尔滨工业大学 2014年12月

实验二时序逻辑电路的设计与仿真3.1实验要求 本实验练习在Maxplus II环境下时序逻辑电路的设计与仿真,共包括6个子实验,要求如下: 节序实验内容要求 3.2同步计数器实验必做 3.3时序电路分析实验必做 3.4移位寄存器实验必做 3.5三人抢答器实验必做 3.6串并转换电路实验选做 3.7奇数分频电路实验选做

3.2同步计数器实验 3.2.1实验目的 1.练习使用计数器设计简单的时序电路 2.熟悉用MAXPLUS II仿真时序电路的方法 3.2.2实验预习要求 1.预习教材《6-3计数器》 2.了解本次实验的目的、电路设计要求 3.2.3实验原理 计数器是最基本、最常用的时序逻辑电路之一,有很多品种。按计数后的输出数码来分,有二进制及BCD码等区别;按计数操作是否有公共外时钟控制来分,可分为异步及同步两类;此外,还有计数器的初始状态可否预置,计数长度(模)可否改变,以及可否双向等区别。 本实验用集成同步4位二进制加法计数器74LS161设计N分频电路,使输出信号CPO的频率为输入时钟信号CP频率的1/N,其中N=(学号后两位mod 8)+8。下表为74LS161的功能表。 CLR N LDN ENP ENT CLK D C B A QD QC QB QA CO 0----------------00000 10----↑D C B A D C B A0 1111↑--------加法计数0 1111↑--------11111 110------------QD n QC n QB n QA n 11--0---------- 3.2.4实验步骤 1.打开MAXPLUS II,新建一个原理图文件,命名为EXP3_ 2.gdf。 2.按照实验要求设计电路,将电路原理图填入下表。

哈工大自动控制原理 大作业

自动控制原理 大作业 (设计任务书) 姓名: 院系: 班级: 学号:

5、 参考图 5 所示的系统。试设计一个滞后-超前校正装置,使得稳态速度误差常数为20 秒-1,相位裕度为60度,幅值裕度不小于8 分贝。利用MATLAB 画出 已校正系统的单位阶跃与单位斜坡响应曲线。 + 一.人工设计过程 1、计算数据确定校正装置传递函数 为满足设计要求,这里将超前滞后装置的形式选为 ) 1)(()1)(1()(2 12 1T s T s T s T s K s G c c ββ++++ = 于就是,校正后系统的开环传递函数为)()(s G s G c 。这样就有 )5)(1()(lim )()(lim 00++==→→s s s K s sG s G s sG K c c s c s v 205 ==c K 所以 100=c K 这里我们令100=K ,1=c K ,则为校正系统开环传函) 5)(1(100 )(++=s s s s G 首先绘制未校正系统的Bode 图 由图1可知,增益已调整但尚校正的系统的相角裕度为? 23.6504-,这表明系统就是不稳定的。超前滞后校正装置设计的下一步就是选择一个新的增益穿越频率。由)(ωj G 的相角曲线可知,相角穿越频率为2rad/s,将新的增益穿越频率仍选为2rad/s,但要求2=ωrad/s 处的超前相角为? 60。单个超前滞后装置能够轻易提供这一超前角。 一旦选定增益频率为2rad/s,就可以确定超前滞后校正装置中的相角滞后部分的转角频率。将转角频率2/1T =ω选得低于新的增益穿越频率1个十倍频程,即选择2.0=ωrad/s 。要获得另一个转角频率)/(12T βω=,需要知道β的数值,

相关文档
相关文档 最新文档