文档库 最新最全的文档下载
当前位置:文档库 › 定时器工作原理及应用

定时器工作原理及应用

定时器工作原理及应用
定时器工作原理及应用

定时器工作原理及应用文档编制序号:[KK8UY-LL9IO69-TTO6M3-MTOL89-FTT688]

555定时器

摘要:555定时器是一种多用途的数字——模拟混合集成电路,利用它能极方便地构成施密特触发器、单稳态触发器和多谐振荡器。由于使用灵活、方便,所以555定时器在波形的产生与交换、测量与控制、家用电器、电子玩具等许多领域中都得到了广泛应用。本文主要介绍了555定时器的工作原理及其在单稳态触发器、多谐振荡器方面的应用。

关键词:数字——模拟混合集成电路;施密特触发器;波形的产生与交换

555 Timer

Abstract: 555 the timer is a general-purpose digital simulation hybrid integrated circuit, and use it to a very convenient to constitute schmidt flip-flop, single state trigger and harmonic oscillator. Due to the use of flexible, convenient, so 555 in the produce of the waveform timer and exchange, measurement and control, home appliances, electronic toys in many areas have been widely applied.

Key words: Digital-simulation hybrid integrated circuit;Schmitt toggle;Waveform generation and exchange

1概述

555定时器的简介

555定时器是一种多用途的数字——模拟混合集成电路,利用它能极方便地构成施密特触发器、单稳态触发器和多谐振荡器。由于使用灵活、方便,所以555定时器在波形的产生与交换、测量与控制、家用电器、电子玩具等许多领域中都得到了广泛应用。自从signetics公司于1972年推出这种产品以后,国际上个主要的电子器件公司也都相继的生产了各自的555定时器产品。尽管产品型号繁多,但是所有双极型产品型号最后的3位数码都是555,所有CMOS产品型号最后的4位数码都是7555.而且,它们的功能和外部引脚排列完全相同。

555定时器的应用

(1)构成施密特触发器,用于TTL系统的接口,整形电路或脉冲鉴幅等;

(2)构成多谐振荡器,组成信号产生电路;

(3)构成单稳态触发器,用于定时延时整形及一些定时开关中。

555应用电路采用这3种方式中的1种或多种组合起来可以组成各种实用的电子电路,如定时器、分频器、元件参数和电路检测电路、玩具游戏机电路、音响告警电路、电源交换电路、频率变换电路、自动控制电路等。

2 555定时器的电路结构与工作原理

图 1

555 定时器的功能主要由两个比较器决定。两个比较器的输出电压控制 RS 触发器和放电管的状态。在电源与地之间加上电压,当 5 脚悬空时,则电压比较器 C1 的同相输入端的电压为 2VCC /3,C2 的反相输入端的电压为VCC 若触发输入端 TR 的电压小于VCC /3,则比较器 C2 的输出为 0,可使 RS 触发器置 1,使输出端 OUT=1。如果阈值输入端 TH

3、555定时器与触发器的联系、555定时器构成单稳态触发器

图 2

上图为由555定时器和外接定时元件R、C构成的单稳态触发器。D 为钳位二极管,稳态时555电路输入端处于电源电平,内部放电开关管T 导通,输出端Vo输出低电平,当有一个外部负脉冲触发信号加到Vi端。并使2端电位瞬时低于1/3VCC,低电平比较器动作,单稳态电路即开始一个稳态过程,电容C开始充电,Vc按指数规律增长。当Vc充电到

2/3VCC时,高电平比较器动作,比较器A1翻转,输出Vo从高电平返回低电平,放电开关管T重新导通,电容C上的电荷很快经放电开关管放电,暂态结束,恢复稳定,为下个触发脉冲的来到作好准备。波形图见图3。

图3 单稳态触发器波形图

暂稳态的持续时间Tw(即为延时时间)决定于外接元件R、C的大小。

Tw=

通过改变R、C的大小,可使延时时间在几个微秒和几十分钟之间变化。当这种单稳态电路作为计时器时,可直接驱动小型继电器,并可采用复位端接地的方法来终止暂态,重新计时。此外需用一个续流二极管与继电器线圈并接,以防继电器线圈反电势损坏内部功率管。

555定时器接成多谐振荡器

多谐振荡器又称为无稳态触发器,它没有稳定的输出状态,只有两个暂稳态。在电路处于某一暂稳态后,经过一段时间可以自行触发翻转到另一暂稳态。两个暂稳态自行相互转换而输出一系列矩形波。多谐振荡器可用作方波发生器。

图 4 多谐振荡器和工作波形

接通电源后,假定是高电平,则T截止,电容C充电。充电回路是VCC—R1—R2—

C—地,按指数规律上升,当上升到时(TH、端电平大于),输出翻转为低电平。是低电平,T导通,C放电,放电回路为C—R2—T—地,按指数规律下降,当下降到时(TH、端电平小于),输出翻转为高电平,放电管T截止,电容再次充电,如此周而复始,产生振荡,经分析可得输出高电平时间 T=(R1+R2)Cln2

输出低电平时间T=R2Cln2

振荡周期 T=(R1+2R2)Cln2

4 555定时器在现实生活中的应用实例

图 5 门控灯开关

该控制电路的核心是555定时器和D型触发器。555定时器接成单稳态触发器,去除触点跳动对电路工作的影响,D型触发器接成 T′触发器形式,利用其输出去控制可控硅开通和关闭,从而控制电灯的亮灭。平时当房门关

闭时,安装在门扇边缘的小磁铁正好靠在干簧管旁边,干簧管的两常开触点受外磁力作用吸合,单稳态电路因输入脉冲为高电平而处于待触发状态,此时双稳态电路的输出为低电平,可控硅因无触发电流而阻断,灯不亮。当有人推门时,小磁铁会随门扇离开干簧管一次,干簧管的常开触点会因暂时失去外磁力作用而靠自身弹力张开、吸合一次。实际上,由于干簧管的触点的抖动,要重复几次这种张开、吸合的过程.单稳态触发器的 CP 端能够在干簧管的1触

点第一次张开时获得一负脉冲触发信号,使单稳态触发器翻转为暂稳态,其输出由低电平变为高电平此时,电容器 C 经 R 充电,复位端 R 电位上升,当上升到复位电平2/3V 时,单稳态触发器复位,Q 恢复为低电平。

单稳态电路的时间常数 T= C ,它有效地将干簧管的具有抖动信号现象

的脉冲信号展宽为单个脉冲,此正脉冲同时加至 T′触发器器的 CP2端,其

输出由低电平变为高电平,可控硅的控制极获得正向触发电流而导通,电灯通电发光.当进来的人离开时,随着门的再一次打开、关闭,干簧管重复同样的

动作,单稳态触发器同样输出一正脉冲信号,于是T′触发器再次翻转为低电平,可控硅失去触发电流并在交流电过零时关断,电灯自动熄灭。光敏电阻 R 和可调电阻 R 构成光控电路。在白天 ,光敏电阻受自然光照射阻值很

小 ,T′触发器的置“0”端 R电位 >1/2V ,无论此门被开闭多少次 ,DD电

路强制置“0”,Q始终为底电平 ,电灯不会发光;夜晚 ,因自然光照减

弱 ,T′触发器的置“0”端 R 电位 <1/2V ,强制复位自动解除。

实际应用时,将开关盒安装在门框顶上,小磁铁则正对着盒内底侧部放置的干簧管固定在门扇顶沿上。仔细调整小磁铁和干簧管的相对位置,使干簧管能够随门扇的开闭而可靠地动作。

然后,根据“火线接开关地线进灯头,接通开关和灯头”的照明灯接线原则,将开关盒内桩头外引线不分顺序串入电灯火线回路即可。

最后,用小螺丝刀将 R 调至阻值最小的位置,P在夜晚需要开灯的时候,打开门扇使灯点亮,然后由小到大调节R 阻值,直到电灯刚好熄灭,再将 R 阻值回调一点即可.反复细调,即可获得最佳光控灵敏度。

5 结论

555 定时器把模拟电子中的放大功能和数字子的逻辑功能融合起来 ,定时精确 ,电源范围宽直接推动负载.因此 ,作为一种价格低廉、性能优使用方便的中规模的集成电路 ,555 定时器已成数字电路中最常用的时基电路之一 ,必将在控制域得到更广泛的应用.

演讲限时计时器

课程设计名称:电子技术课程设计 题目:演讲限时定时器 学期: 2013-2014学年第2学期 专业:电气自动化 班级:电气12-4 姓名:高明 学号: 1205040404 指导教师:谢国民 辽宁工程技术大学 课程设计成绩评定表

课程设计任务书 一、设计题目:演讲限时定时器 二、设计任务及:采用555定时器设计演讲限时定时器,如

果发言人演讲时间超时,定时器就会发出声响告知发言人到时。 三、设计计划 1)设计时间一周; 2)最终提交原理图或结果仿真。 四、设计要求 1)定时和控制选用555定时器; 2)限定发言时间为5分钟; 3) 设计方案要有比较环节,扬声器音调可调。 4)用绘图软件绘制原理图。 指导教师:谢国民 日期:2014年6 月21日 摘要 本设计电路分为时钟脉冲电路、预置时间电路、提示信号发生电路三部分。 1、预置时间用三个74LS160十进制计数器和适当的门电路控制的,设有5min 输入(脉冲)。它接受555多谐振荡电路的脉冲,因为是5min,所以在脉冲是1Hz的情况下,需要使三个74LS160十进制计数器达到300进制,即300s。 2、利用555构成多谐振荡电路产生所需的1Hz脉冲,提供给计数器的时钟脉冲,每记一次数走一秒。 3、提示信号发生电路主要由一片555芯片与门电路构成构成。555芯片构成声频振荡蜂鸣电路,逻辑门电路控制提示信号输入蜂鸣器,使其发出声音,提醒发

言者时间到。 关键词: 计数器 555多谐振荡器门电路 目录 1 综述 (1) 2 电路设计框图与思路 (2) 2.1 总框图设计 (2) 2.2 单元模块设计 (2)

555定时器芯片工作原理

555定时器芯片工作原理,功能及应用 -------------------------------------------------------------------------------- - 555定时器芯片工作原理,功能及应用 555定时器是一种数字电路与模拟电路相结合的中规模集成电路。该电路使用灵活、方便,只需外接少量的阻容元件就可以构成单稳态触发器和多谐振荡器等,因而广泛用于信号的产生、变换、控制与检测。 一、555定时器 555定时器产品有TTL型和CMOS型两类。TTL型产品型号的最后三位都是555,CMOS 型产品的最后四位都是7555,它们的逻辑功能和外部引线排列完全相同。 555定时器的电路如图9-28所示。它由三个阻值为5k?的电阻组成的分压器、两个电压比较器C1和C2、基本RS触发器、放电晶体管T、与非门和反相器组成。 电压比较器的功能:比较两个电压的大小(用输出电压的高或低电平,表示两个输入电压的大小关系): 当”+”输入端电压高于”-”输入端时,电压比较器输出为高电平; 当”+”输入端电压低于”-”输入端时,电压比较器输出为低电平 图9-28 555定时器原理图 分压器为两个电压比较器C1、C2提供参考电压。如5端悬空,则比较器C1的参考电压为,加在同相端;C2的参考电压为,加在反相端。 是复位输入端。当=0时,基本RS触发器被置0,晶体管T导通,输出端u0为低电平。正常工作时,=1。

u11和u12分别为6端和2端的输入电压。当u11>,u12> 时,C1输出为低电平,C2输出为高电平,即=0,=1,基本RS触发器被置0,晶体管T导通,输出端u0为低电平。 当u11<,u12< 时,C1输出为高电平,C2输出为低电平,=1,=0,基本RS触发器被置1,晶体管T截止,输出端u0为高电平。 当u11<,u12> 时,基本RS触发器状态不变,电路亦保持原状态不变。 综上所述,可得555定时器功能如表9-13所示。 表9-13 555定时器功能表 输入输出 复位u11 u12 输出u0 晶体管T 0 ××0 导通 1 > > 0 导通 1 < < 1 截止 1 < > 保持保持 一、555定时器的应用 1.单稳态电路 前面介绍的双稳态触发器具有两个稳态的输出状态和,且两个状态始终相反。而单稳态触发器只有一个稳态状态。在未加触发信号之前,触发器处于稳定状态,经触发后,触发器由稳定状态翻转为暂稳状态,暂稳状态保持一段时间后,又会自动翻转回原来的稳定状态。单稳态触发器一般用于延时和脉冲整形电路。 单稳态触发器电路的构成形式很多。图9-29(a)所示为用555定时器构成的单稳态触发器,R、C为外接元件,触发脉冲u1由2端输入。5端不用时一般通过0.01uF电容接地,以防干扰。下面对照图9-29(b)进行分析。

单片机定时器实验

单片机定时器实验

实验三单片机内部定时器应用 实验目的 1、理解单片机内部定时器的工作原理及使用方法 2、了解单片机定时中断程序的编写和调试方法 3、掌握定时器的基本使用方法 实验仪器 单片机开发板、万利仿真机、稳压电源、计算机 实验原理 1、单片机定时器的工原理 MCS-51 单片机内部有两个16 位可编程的定时器/计数器T0 和T1。它们即可用作定时器方式,又可用作计数器方式。其中T0 由TH0 和TL0 计数器构成;T1 由TH1 和TL1 计数器构成。 工作于定时器方式时,通过对机器周期(新型51单片机可以对振荡周期计数)的计数,即每一个机器周期定时器加1,来实现定时。故系统晶振频率直接影响定时时间。如果晶振频率为

图4-8 定时控制寄存器数据格式编写程序控制这两个寄存器就可以控制定时器的运行方式。 单片机内部定时器/计数器的使用,简而概之:(1)如需用中断,则将EA和相关中断控制位置1;(2)根据需要设置工作方式,即对TMOD设置;(3)然后启动计数,即对TR0或TR1置1。(4)如使用中断,则计数溢出后硬件会自动转入中断入口地址;如使用查询,则必须对溢出中断标志位TF0或TF1进行判断。 2、用定时器编写一个秒计时器 假设系统使用的晶振频率为12MH Z,即每个机器周期为1us。如使用方式1,则定时时间最长是216×1us=65536us=65.536ms,小于1s。故必须设置一个软件计数单元,即假设定时器定时中断时间为50ms,则必须定时中断20次才达到1s并对秒计时单元加1,20即为软件计数次数。最后再把秒计时单元的值转成显示数码送显示缓冲区。

倒计时定时器设计

一、倒计时定时器设计 1、20秒、30分钟到计时计数器 1、1 设计要求: 20s倒计时定时器:倒计时由按钮启动,计时精度0.1s,在数码管中显示倒计时值。 30分钟倒计时定时器:倒计时由按钮启动,计时精度1s,在数码管中显示倒计时值。 1、2设计的作用目的: 此次设计是我们更进一步了解基本电路的设计流程,提高自己的设计理念,丰富自己的理论知识,巩固所学知识,使自己的动手动脑能力有更进一步提高,为自己今后的学习和工作打好基础,为自己的专业技能打好基础。通过解决实际问题,巩固和加深“单片机原理与应用”课程中所学的理论知识和实验能力,基本掌握单片机应用电路的一般设计方法,提高电子电路的设计和实验能力,加深对单片机软硬知识的理解,获得初步的应用经验,为以后从事生产和科研工作打下一定的基础。本次设计注重对单片机工作原理以及键盘控制及显示原理的理解,以便今后自己在单片机领域的学习和开发打下基础,提高自己的动手能力和设计能力,培养创新能力,丰富自己的理论知识,做到理论和实践相结合。本次设计的重要意义还在于对单片机的内部结构和工作状态做更进一步的了解,同时还对单片机的接口技术,中断技术,存储方式和控制方式作更深层次的了解。 1、3问题分析: 在电子技术飞速发展的今天,电子产品的人性化和智能化已经非常成熟,其发展前景仍然不可估量。如今的人们需求的是一种能给自己带来方便的电子产品,当然最好是人性化和智能化的,如何能做到智能化呢?单片机的引入就是一个很好的例子。单片机又称单片微型计算机,也称为微控制器,是微型计算机的一个重要分支,单片机是20世纪70年代中期发展起来的一种大规模集成电路芯片,是集CPU,RAM,ROM,I/O接口和中断系统于同一硅片上的器件。单片机的诞生标志着计算机正式形成了通过计算机系统和嵌入式计算机系统两个分支。目

通电延时定时器(TON)指令工作原理

**************************************************************************(1)通电延时定时器(TON )指令工作原理 程序及时序分析如图4-41所示。当I0.0接通时即使能端(IN )输入有效时,驱动T37开始计时,当前值从0开始递增,计时到设定值PT 时,T37 状态位置1,其常开触点T37接通,驱动Q0.0输出,其后当前值仍增加,但不影响状态位。当前值的最大值为32767。当I0.0分断时,使能端无效时,T37复位,当前值清0,状态位也清0,即回复原始状态。若I0.0接通时间未到设定值就断开,T37则立即复位,Q0.0不会有输出。 (2)记忆型通电延时定时器(TONR )指令工作原理 使能端(IN )输入有效时(接通),定时器开始计时,当前值递增,当前值大于或等于预置值(PT )时,输出状态位置1。使能端输入无效(断开)时,当前值保持(记忆),使能端(IN )再次接通有效时,在原记忆值的基础上递增计时。 注意:TONR 记忆型通电延时型定时器采用线圈复位指令R 进行复位操作,当复位线圈有效时,定时器当前位清零,输出状态位置0。 程序分析如图4-42所示。如T3,当输入IN 为1时,定时器计时;当IN 为0时,其当前值保持并不复位;下次IN 再为1时,T3当前值从原保持值开始往上加,将当前值与设定值PT 比较,当前值大于等于设定值时,T3状态位置1,驱动Q0.0有输出,以后即使IN 再为0,也不会使T3复位,要使T3复位,必须使用复位指令。 PT I0.0 T37当前值 Q0.0 最大值32767 图4-41 通电延时定时器工作原理分析 LD I0.0 TON T37,100 LD T37 = Q0.0

三位数显示计时器定时器数电课程设计

文档大全 沈阳航空航天大学 课程设计三位数字显示计时器定时器设计 班级 学号 学生姓名 指导教师

文档大全 沈阳航空航天大学 课程设计任务书 课程名称电子线路课程设计 课程设计题目三位数字显示计时器定时器设计 课程设计的内容及要求: 一、设计说明与技术指标 设计一个三位数字显示计时器、定时器电路,技术指标如下: ①计时、定时能够任意启停,保持计时、定时结果; ②开机自动复位; ③最大显示时间为9分59秒; ④设置时间,定时报警; 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1. 童诗白,华成英主编.模拟电子技术基础.[M]北京:高等教育出版社,2006年 五、按照要求撰写课程设计报告

文档大全 成绩评定表: 序号 评定项目 评分成绩 1 设计方案正确,具有可行性,创新性( 15分) 2 设计结果可信(例如:系统分析、仿真结果)(15分) 3 态度认真,遵守纪律(15分) 4 设计报告的规范化、参考文献充分(不少于5篇)(25分) 5 答辩(30分) 总分 最终评定成绩(以优、良、中、及格、不及格评定) 指导教师签字: 2016年 12月 31日

文档大全 一、概述 此次课设题目为及时定时系统,计时器和定时器在人们日常生活中有着广泛的应用, 本次课程就是设计利用555定时器以及数字逻辑芯片和数码管实现数字电子计时器和定时器功能,能够满足基本的功能要求,电路要求由可控脉冲发生器、计数电路,显示数码管电路以及报警电路组成。旨在通过这次课程设计实现知识的活学活用,能够将知识运用到实践中去,数字电路分为组合电路和逻辑电路,而本次课设则是基于对逻辑同步或者异步知识的掌握,能够根据题目选用相应的芯片,设计相应的电路,是本次实验最大的目的。 二、方案论证 方案一:使用数字电路的原理设计本方案,方案一利用555定时器以及数字逻辑芯片和数码管实现数字电子计时器和定时器功能,电路要求由可控脉冲发生器、计数电路,显示数码管电路以及报警电路组成。使用555多谐振荡器产生脉冲信号,使用数字芯片进行计数,通过LED 进行报警最后使用显示译码器显示计数。所以一共有四部分组成。 图1 总体电路的原理框图 方案二: 方案二采用单片机编程进行设计实现计时器或者定时器的设计。

单片机定时器的使用

哈尔滨理工大学荣成学院 单片机原理及应用Protues 仿真实验 班级:电气18 学号: 姓名:

日期: 2020.06.03 实验五单片机定时器的使用 一、实验名称:单片机定时器的使用 二、实验目的 1.掌握在Keil环境下建立项目、添加、保存源文件文件、编译源程序的方法; 2.掌握运行、步进、步越、运行到光标处等几种调试程序的方法; 3.掌握在Proteus环境下建立文件原理图的方法; 4.实现Proteus与Keil联调软件仿真。 三、使用仪器设备编号、部件及备件 1.实验室电脑; 2.单片机实验箱。 四、实验过程及数据、现象记录 在Proteus 环境下建立如下仿真原理图,并保存为文件;

原理图中常用库元件的名称: 无极性电容:CAP 极性电容:CAP-ELEC 单片机:AT89C51 晶体振荡器:CRYSTAL 电阻:RES 按键:BUTTON 发光二极管:红色LED-RED 绿色LED-GREEN 蓝色LED-BLUE 黄色LED-YELLOW

在Keil环境下建立源程序并保存为.ASM文件,生成.HEX文件;汇编语言参考程序如下: ORG 0000H LJMP MAIN ORG H ;定时器T0的入口地址LJMP TIMER0 MAIN: MOV TMOD,#01H MOV R0,#05H MOV TH0,# H ;定时器的初值MOV TL0,# H SETB ;开定时器T0的中断SETB ;开CPU的中断SETB ;启动定时器T0 MOV A,#01H LOOP: MOV P1,A RL A CJNE R0,#0,$ MOV R0,#05H SJMP LOOP TIMER0: DEC R0 MOV TH0,# H ; MOV TL0,# H ; RETI END 将以上程序补充完整,流水时间间隔为250ms。#include #include #define uchar unsigned char #define uint unsigned int uchar i=0; void int_timer0()interrupt 1 { i++; if(i==5) { i=0; P1=_crol_(P1,1); } TH0=0x3c; TL0=0xb0; } void main() { TMOD=0x01; TH0=0x3c; TL0=0xb0; ET0=1; EA=1; TR0=1; P1=0xfe; while(1); } 将.HEX文件导入仿真图,运行并观察结果;

定时器的结构和工作原理

13.1 555定时器的结构和工作原理本节重点: (1)脉冲的基本知识 (2)555电路的组成结构和工作原理 (3)555芯片引脚图 (4)555电路功能表 (5)555电路的典型应用 本节难点: (1)555的内部电路组成和工作原理 (2)555电路的典型应用 引入:555定时器电路是一种中规模集成定时器,目前应用十分广泛。通常只需外接几个阻容元件,就可以构成各种不同用途的脉冲电路,如多谐振荡器、单稳态触发器以及施密特触发器等。555定时电路有TTL集成定时电路和CMOS集成定时电路,它们的逻辑功能与外引线排列都完全相同。双极型产品型号最后数码为555,CMOS型产品型号最后数码为7555。 一、555电路的结构组成和工作原理 (1)电路组成及其引脚

(2)555的工作原理 它含有两个电压比较器,一个基本RS 触发器,一个放电开关T ,比较器 的参考电压由三只5K Ω的电阻器构成分压,它们分别使高电平比较器C1同相比 较端和低电平比较器C2的反相输入端的参考电平为Vcc 32和Vcc 3 1 。C1和C2的 输出端控制RS 触发器状态和放电管开关状态。当输入信号输入并超过Vcc 32 时, 触发器复位,555的输出端3脚输出低电平,同时放电,开关管导通;当输入信 号自2脚输入并低于Vcc 31 时,触发器置位,555的3脚输出高电平,同时放电, 开关管截止。 D R 是复位端,当其为0时,555输出低电平。平时该端开路或接Vcc 。 Vco 是控制电压端(5脚),平时输出Vcc 32 作为比较器A1的参考电平,当5 脚外接一个输入电压,即改变了比较器的参考电平,从而实现对输出的另一种控制,在不接外加电压时,通常接一个0.01F μ的电容器到地,起滤波作用,以消除外来的干扰,以确保参考电平的稳定。 T 为放电管,当T 导通时,将给接于脚7的电容器提供低阻放电电路. (3)555电路的引脚功能 二、555电路的应用 (1)用555电路构成施密特触发器

倒计时定时器电路设计

目录 摘要 (1) 第1章概述 (2) 第2章电路设计方案 (3) 2.1 总体电路设计方案 (3) 2.2 单元功能模块设计 (4) 2.2.1 秒信号发生器 (4) 2.2.260分频器电路设计 (5) 2.2.3 减法计数器 (6) 2.2.4 译码显示电路 (9) 2.2.5 执行电路 (11) 第3章整机电路原理 (13) 第4章仿真 (14) 总结 (16) 致谢 (17) 参考文献 (18) 附录1电路原理图 (19) 附录2仿真图 (20)

摘要 本设计主要由减法计数器、译码显示器、秒信号发生器、分频器、执行路等构成,能实现倒计时、定时和控制执行电路工作的功能。 倒计时计数末了时,继电器动作,控制用电器动作。其中时钟信号是由多谐振荡器产生的1Hz秒脉冲信号,而且秒脉冲信号可以通过分频器产生出1/60Hz的分脉冲信号,然后通过开关选择秒脉冲或分脉冲信号通过开关选择输入电路,对倒计时计数器进行触发,计数器由置数开关输入的预置数开始进行计数,其中数字由译码数码管显示,直到倒计时末了,产生信号使继电器工作,从而通过控制开关控制受控电器的开或关。 所以,此设计相当于构造了一个电器的控制开关,能够灵活定时电器的工作时间,从而使电器的开关更加方便。 关键词计数器;译码器;显示器;分频器

第1章概述 倒计时计数器的用途很广泛。它可以用作定时,控制被定时电器的工作状态,实现定时开或者定时关,最长定时时间为99分钟。他还可以用做倒记时记数,最长记时时间为99秒,而且有二位数码管显示记数状态,可以灵活的对受控电器进行定时开关。 倒计时计时器的核心器件是可预制数减计数器IC3、IC4,其初始数由拨码开关S1、S2设定,其输出状态由BCD码七段译码器IC1、IC2译码后驱动LED数码管显示。门电路D1、D2产生秒信号脉冲,以及经IC5等60分频后得到的分信号脉冲。由开关S4选择后作为时钟脉冲送入减计数器的CP端。 当按下启动按钮S3后,S1、S2设定的预置数进入减计数器,数码管显示出该预置数,然后计数器就在时钟脉冲CP的作用下减计数,数码管做同步显示。当倒计时结束,减计数器显示为00时,输出高电平使VT1、VT2导通,继电器K1吸合,其常开接点K1-1闭合,接通被控电器,被控电器开始工作;其常闭接点K1-2断开,切断被控电器,使此工作结束工作。同时,自带音源讯响器发出提示音。 在这个数字化的时代,倒计时定时器随处可见,在人们的生活和工作中,倒计时定时器的应用也越来越广泛。在医学设备、在交通方面、比赛场合等,特别是在一些数字化、智能化设备上倒计时定时器得到了很好的应用。我相信,倒计时定时器的发展前景会越来越好。

定时器工作原理

定时器工作原理 通电延时型。只要在定时的时间段内(即1分钟)定时器一直得电,则常开触电就会闭合,只要定时器不断电常开触电就会一直闭合。定时器断电则常开触电断开 1,定时器/计数器的结构与功能 主要介绍定时器0(T0)和定时器1(T1)的结构与功能。图6.1是定时器/计数器的结构框图。由图可知,定时器/计数器由定时器0、定时器1、定时器方式寄存器TMOD和定时器控制寄存器TCON组成。 定时器0,定时器1是16位加法计数器,分别由两个8位专用寄存器组成:定时器0由TH0和TL0组成,定时器1由TH1和TL1组成。 图6.1 定时器/计数器结构框图 TL0、TL1、TH0、TH1的访问地址依次为8AH~8DH,每个寄存器均可单独访问。定时器0或定时器1用作计数器时,对芯片引脚T0(P3.4)或T1(P3.5)上输入的脉冲计数,每输入一个脉冲,加法计数器加1;其用作定时器时,对内部机器周期脉冲计数,由于机器周期是定值,故计数值确定时,时间也随之确定。 TMOD、TCON与定时器0、定时器1间通过内部总线及逻辑电路连接,TMOD 用于设置定时器的工作方式,TCON用于控制定时器的启动与停止。 6.1.1 计数功能 计数方式时,T的功能是计来自T0(P3.4)T1(P3.5)的外部脉冲信号的个数。 输入脉冲由1变0的下降沿时,计数器的值增加1直到回零产生溢出中断,表示计数已达预期个数。外部输入信号的下降沿将触发计数,识别一个从“1”到“0”的跳变需2个机器周期,所以,对外部输入信号最高的计数速率是晶振频率的1/24。若晶振频率为6MHz,则计数脉冲频率应低于1/4MHz。当计数器满后,再来一个计数脉冲,计数器全部回0,这就是溢出。 脉冲的计数长度与计数器预先装入的初值有关。初值越大,计数长度越小;初值越小,计数长度越大。最大计数长度为65536(216)个脉冲(初值为0)。 6.1.2 定时方式 定时方式时,T记录单片机内部振荡器输出的脉冲(机器周期信号)个数。 每一个机器周期使T0或T1的计数器增加1,直至计满回零自动产生溢出中断请求。 定时器的定时时间不仅与定时器的初值有关,而且还与系统的时钟频率有关。在机器周期一定的情况下,初值越大,定时时间越短;初值越小,定时时间越长。最长的定时时间为65536(216)个机器周期(初值为0)。

课程设计报告:数字式计时器电路555定时器

目录 目录 (1) 1.系统设计思路与总体方案 (2) 1.1 设计思路与流程图 (2) 2.Multisim软件的简介 (3) 2.1Multisim概貌及特点 (3) 3.555定时器,CD4518和CD4011介绍 (7) 3.1 555定时器 (7) 3.2 CD4518引脚功能 (11) 3.3 CD4011引脚图 (12) 4. 数字逻辑,振荡器,计数器和显示电路图 (14) 4.1数字逻辑模块 (14) 4.2振荡器模块 (14) 4.3 计数器模块 (19) 4.4 显示器模块 (20) 5. 电路的总体设计与调试 (20) 5.1 总体电路原理图 (20) 5.2总体电路工作原理 (21) 6.课程设计感受 (22) 6.1 课程设计中的收获和体会 (22) 7.附录与文献 (24)

7.1附录 (24) 7.2参考文献 (25) 1.系统设计思路与总体方案 1.1 设计思路与流程图 根据任务书可以知道本课题是一个2位数字显示计数器,是一个十进制计数器组合,本质上就是一计时器。通过一个时基电路产生一定频率脉冲,将脉冲信号输入低位的计数器输入端,通过一级级的进位,从而达到计数。从而完成此课题,我们可以将这整个计数系统,分为几个模块进行分析。 (1).数字逻辑控制模块。通过使用门电路来控制计时器进位及清零。 (2).脉冲信号产生模块。由一个振荡电路来产生一个固定频率的脉冲信号,作为计时器的时基信号。 (3).计时数计数模块。接收计时及中断信号脉冲,从而控制计数器计数,且有清零功能,该模块选用十进制计数器。 (2).译码显示模块。该模块要显示00到99的数字,选用十进制计数器的基础上,通过它们之间的级联,最终显示相应数字。 该数字式定时器,需要用到555定时器,由此产生振荡信号,在数字逻辑电路的控制下,由计数器计数,最后在数码管上显示出来,画为流程图如下:

用定时器计数器设计一个简单的秒表

目录 摘要................................................................................................ 错误!未定义书签。 1 Proteus简介错误!未定义书签。 2 主要相关硬件介绍错误!未定义书签。 AT89C52简介错误!未定义书签。 四位数码管错误!未定义书签。 74LS139芯片介绍错误!未定义书签。 3 设计原理错误!未定义书签。 4 电路设计错误!未定义书签。 电路框图设计错误!未定义书签。 电路模块介绍错误!未定义书签。 控制电路错误!未定义书签。 译码电路错误!未定义书签。 数码管显示电路错误!未定义书签。 仿真电路图错误!未定义书签。 5 设计代码错误!未定义书签。 6 仿真图错误!未定义书签。 7 仿真结果分析错误!未定义书签。 8 实物图错误!未定义书签。 9 心得体会错误!未定义书签。 参考文献错误!未定义书签。

摘要 现在单片机的运用越来越宽泛,大到导弹的导航装置、飞机上各种仪表的控制、计算机的网络通讯与数据传输、工业自动化过程的实时控制和数据处理,小到广泛使用的各种智能IC卡、各种计时和计数器等等。本次课设我们要设计一个能显示计时状态和结果的秒表,它是基于定时器/计数器设计一个简单的秒表。 本次设计的数字电子秒表系统采用AT89C51单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合显示电路、LED数码管以及外部中断电路来设计计时器。将软、硬件有机地结合起来,使得系统能够实现四位LED显示,显示时间为0~秒,计时精度为秒,能正确地进行计时,并显示计时状态和结果。其中软件系统采用汇编或者C语言编写程序,包括显示程序,定时中断服务,外部中断服务程序,延时程序等,并在keil中调试运行,硬件系统利用PROTEUS强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 关键词:秒表,AT89C51,proteus,C语言

单片机定时器实验

实验三单片机内部定时器应用 实验目的 1、理解单片机内部定时器的工作原理及使用方法 2、了解单片机定时中断程序的编写和调试方法 3、掌握定时器的基本使用方法 实验仪器 单片机开发板、万利仿真机、稳压电源、计算机 实验原理 1、单片机定时器的工原理 MCS-51 单片机内部有两个16 位可编程的定时器/计数器T0 和T1。它们即可用作定时器方式,又可用作计数器方式。其中T0 由TH0 和TL0 计数器构成;T1 由TH1 和TL1 计数器构成。 工作于定时器方式时,通过对机器周期(新型51单片机可以对振荡周期计数)的计数,即每一个机器周期定时器加1,来实现定时。故系统晶振频率直接影响定时时间。如果晶振频率为12MHZ,则定时器每隔(1/12MHZ)×12=1us 加1。 工作于计数器方式时,对P3.4 或P3.5 管脚的负跳变(1→0)计数。它在每个机器周期的S5P2 时采样外部输入,当采样值在这个机器周期为高,在下一个机器周期为低时,计数器加1。因此需要两个机器周期来识别一个有效跳变,故最高计数频率为晶振频率的1/24。 特殊功能寄存器TMOD 用于定时器/计数器的方式控制。高4 位用于设置T1,低4 位用于设置T0。如图4-7所示。 图4-7 定时器模式控制字格式 TCON 寄存器用于定时器的计数控制和中断标志。如图4-8所示。 图4-8 定时控制寄存器数据格式 编写程序控制这两个寄存器就可以控制定时器的运行方式。 单片机内部定时器/计数器的使用,简而概之:(1)如需用中断,则将EA和相关中断控制位置1;(2)根据需要设置工作方式,即对TMOD设置;(3)然后启动计数,即对TR0或TR1置1。(4)如使用中断,则计数溢出后硬件会自动转入中断入口地址;如使用查询,则必须对溢出中断标志位TF0或TF1进行判断。

定时器工作原理

定时器工作原理 Revised as of 23 November 2020

定时器工作原理 通电延时型。只要在定时的时间段内(即1分钟)定时器一直得电,则常开触电就会闭合,只要定时器不断电常开触电就会一直闭合。定时器断电则常开触电断开 1,定时器/计数器的结构与功能 主要介绍定时器0(T0)和定时器1(T1)的结构与功能。图是定时器/计数器的结构框图。由图可知,定时器/计数器由定时器0、定时器1、定时器方式寄存器TMOD 和定时器控制寄存器TCON组成。 定时器0,定时器1是16位加法计数器,分别由两个8位专用寄存器组成:定时器0由TH0和TL0组成,定时器1由TH1和TL1组成。 图定时器/计数器结构框图 TL0、TL1、TH0、TH1的访问地址依次为8AH~8DH,每个寄存器均可单独访问。定时器0或定时器1用作计数器时,对芯片引脚T0()或T1()上输入的脉冲计数,每输入一个脉冲,加法计数器加1;其用作定时器时,对内部机器周期脉冲计数,由于机器周期是定值,故计数值确定时,时间也随之确定。 TMOD、TCON与定时器0、定时器1间通过内部总线及逻辑电路连接,TMOD用于设置定时器的工作方式,TCON用于控制定时器的启动与停止。 计数功能 计数方式时,T的功能是计来自T0T1的外部脉冲信号的个数。 输入脉冲由1变0的下降沿时,计数器的值增加1直到回零产生溢出中断,表示计数已达预期个数。外部输入信号的下降沿将触发计数,识别一个从“1”到“0”的跳变需2个机器周期,所以,对外部输入信号最高的计数速率是晶振频率的1/24。若晶振频率为6MHz,则计数脉冲频率应低于1/4MHz。当计数器满后,再来一个计数脉冲,计数器全部回0,这就是溢出。 脉冲的计数长度与计数器预先装入的初值有关。初值越大,计数长度越小;初值越小,计数长度越大。最大计数长度为65536(216)个脉冲(初值为0)。 定时方式 定时方式时,T记录单片机内部振荡器输出的脉冲(机器周期信号)个数。 每一个机器周期使T0或T1的计数器增加1,直至计满回零自动产生溢出中断请求。 定时器的定时时间不仅与定时器的初值有关,而且还与系统的时钟频率有关。在机器周期一定的情况下,初值越大,定时时间越短;初值越小,定时时间越长。最长的定时时间为65536(216)个机器周期(初值为0)。 定时器/计数器控制寄存器 与对定时器/计数器有关的控制寄存器共有4个:TMOD、TCON、IE、IP。IE、IP 已在中断一节中介绍,这里不再赘述。

定时器、计时器

99' SWP Series MC Based Digital Controllers S W P系列微处理器化数字仪表定时/计时器操作手册 香港昌晖自动化系统公司 CHARM FAITH AUTOSYSTEM CO., LTD.

一、 概述 SWP系列智能定时/计时显示控制仪适用于生物、石油、化工、冶金、电力、医药、食品、能源管理、航空航天、机械制造等行业需要定时或计数的场合。 SWP系列智能定时/计时显示控制仪适用于各种长度、速度、时间测量和控制。该仪表采用微处理器,可对各种非线性信号进行高精度的线性矫正,或进行各种数学运算。 SWP系列智能定时/计时显示控制仪集数字测量显示和模拟显示于一体,采用数码LED显示,可显示各种的定时/计时的实时值。 SWP系列智能定时/计时显示控制仪向用户开启了仪表内部参数 ( 包括输入类型 、运算方式、输出参数、通讯协议等 ) 的设定界面。 SWP系列智能定时/计时显示控制仪支持多机通讯,具有多种标准串行双向通讯功能,可选择多种通讯接口方式(如RS-232C、RS-485、RS-422等),通讯波特率300~9600bps仪表内部参数自由设定。可与各种带串行输入输出的设备(如电脑、可编程控制器、PLC等)进行通讯,构成能源计量管理系统。配用SWP 系列数据采集器和基于WINDOWS’95平台的全中文SWP工控组态软件,可方便的实现多台仪表与上位机进行联网管理。 可直接配接各型串行打印机(如TPuP系列串行微型打印机、LQ-300K串行打印机等),以实现打印等功能。打印单位内部任意设定。可手动即时打印出实时值。 主要特点 : . 高亮度LED数字显示测量值 . 支持多机网络通讯 , 通讯协议可任意自由设定 . 独特的全开放式用户自设定界面 . 输入信号类型设定 .零点和增益的设定。 . 报警方式设定 . 输出方式设定 . 设定参数断电永久保留及参数密码锁定 . 多规格外形结构尺寸 . 交直流开关电源供电方式 一、主要技术参数 输入信号 频率信号 f≤3KHz,幅度≥4V 。 开关量信号 测量范围 0 ~ 9999 测量精度 0.2%FS ±1字或0.5 %FS ±1 字 分 辨 率 1、0.1、0.01字 显示方式 . 八位LED显示,可显示时、分、秒、毫秒或计数状态显示0~999999字。 控制方式 位式ON / OFF 带回差 输出信号 模拟量输出 ·DC 0~10 mA(负载电阻≤750Ω ) ·DC 4~20 mA ( 负载电阻≤500Ω ) ·DC 0~5 V ( 输出电阻≤250Ω) ·DC 1~5 V ( 输出电阻≤250Ω) 开关量输出 继电器控制输出──继电器ON/OFF带回差。 触点容量:AC220V/3A;DC24/6A(阻性负载) 可控硅控制输出──SCR(可控硅过零触发脉冲)输出,可触发可控硅: 400V/100A 固态继电器输出──SSR(固态继电器控制信号)输出,6~24V/30mA 通讯输出 接口方式──标准串行双向通信接口:RS -485 , RS-232C , RS-422等 波 特 率──300~9600bps 内部自由设定 馈电输出 DC 24 V , 负载 ≤30 mA 控制方式 可选择2~4限控制,LED指示。控制方式为继电器ON/OFF带回差(用户可自由设定) 控制精度 ±1字 1

555定时器的工作原理.

555定时器的工作原理 555定时器是一种功能强大的模拟数字混合集成电路,其组成电路框图如图22.32所示。它的功能表见表22.1。555定时器有二个比较器A1和 A2,有一个RS触发器,R和S高电平有效。三极管VT1对清零起跟随作用,起缓冲作用。三极管VT2是放电管,将对外电路的元件提供放电通路。比较器的 输入端有一个由三个5kW电阻组成的分压器,由此可以获得和两个分压值,一般称为阈值。555定时器的1脚是接地端GND,2脚是低触发端TL,3脚是输出端OUT,4脚是清除端R d,5脚是电压控制端CV,6脚是高触发端TH,7脚是放电端DIS,8脚是电源端V 。555定时器的输出端电流可以达到 CC 200mA,因此可以直接驱动与这个电流数值相当的负载,如继电器、扬声器、发光二极管等。 由图22-1-1不难证明表22-1的正确性,表中第一行说明555定时器的清零作用。4脚加入低电平,将对RS触发器直接置“0”。接在 端的三极管起跟随缓冲作用。 图22-1-1 555定时器电路框图 当TH高触发端6脚加入的电平大于,TL低触发端2脚的电平大于 时,比较器A1输出高电平,比较器A2输出低电平,触发器置“0”,放电管饱和,7脚为低电平。

当TH高触发端加入的电平小于,TL低触发端的电平大于 时,比较器A1输出低电平,比较器A2输出低电平,触发器状态不变,仍维持前一行的电路状态,输出低电平,放电管饱和,7脚为低电平。 当TH高触发端6脚加入的电平小于,TL低触发端的电平小于 时,比较器A1输出低电平,比较器A2输出高电平,触发器置“1”,输出高电平,放电管截止,7脚为高电平。因7脚为集电极开路输出,所以工作时应有外接上拉电阻,故7脚为高电平。 当从功能表的最后一行向倒数第二行变化时,电路的输出将保持最后一行的状态,即输出为高电平,7脚高电平。只有高触发端和低触发端的电平变化到倒数第三行的情况时,电路输出的状态才发生变化,即输出为低电平,7脚为低电平。 由电路框图和功能表可以得出如下结论: 1.555定时器有两个阈值,分别是和。 2.输出端3脚和放电端7脚的状态一致,输出低电平对应放电管饱和,在7脚外接 有上拉电阻时,7脚为低电平。输出高电平对应放电管截止,在有上拉电阻时,7脚为高电平。 3.输出端状态的改变有滞回现象,回差电压为。 4.输出与触发输入反相。 掌握这四条,对分析555定时器组成的电路十分有利。

秒计时器设计报告

秒计时器设计报告 Prepared on 22 November 2020

课程设计报告题目 30S定时器设计 院部名称 班级 学生姓名 学号 指导教师 目录 4 4 前言

电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做时间提醒设备等等,由此可见计时器在现代社会是何其重要的。 本设计主要能完成:显示30秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器全部显示为“0”;计时器为30秒递减计时其计时间隔为秒;计时器递减计时到零时,数码显示器不灭灯,同时发光二极管LED点亮,停止减计数等。 整个电路的设计借助于Multisim 仿真软件和数字逻辑电路相关理论知识,并在Multisim 下设计和进行仿真,得到了预期的结果。 一、电路设计原理与设计方案 设计原理 我们可以用555时基电路构成的多谐振荡器来产生频率为10Hz的脉冲,即输出周期为秒的方波脉冲,将该方波脉冲信号送到计数器74LS192的CP减计数脉冲端,再通过译码器74LS48把输入的8421BCD码经过内部作和电路“翻译”成七段(a,b,c,d,e,f,g)输出,显示十进制数,然后在适当的位置设置开关或控制电路即可实现计数器的直接清零,启动和暂停/连续、译码显示电路的显示与灭灯及光电报警等功能。 设计方案 该系统应包括秒脉冲发生器、计数器、译码显示电路、辅助时序控制电路(简称控制电路)等几部分构成。其中,计数器和控制电路是系统的主要部分。计数器完成

定时器工作原理及应用

定时器工作原理及应用文档编制序号:[KK8UY-LL9IO69-TTO6M3-MTOL89-FTT688]

555定时器 摘要:555定时器是一种多用途的数字——模拟混合集成电路,利用它能极方便地构成施密特触发器、单稳态触发器和多谐振荡器。由于使用灵活、方便,所以555定时器在波形的产生与交换、测量与控制、家用电器、电子玩具等许多领域中都得到了广泛应用。本文主要介绍了555定时器的工作原理及其在单稳态触发器、多谐振荡器方面的应用。 关键词:数字——模拟混合集成电路;施密特触发器;波形的产生与交换 555 Timer Abstract: 555 the timer is a general-purpose digital simulation hybrid integrated circuit, and use it to a very convenient to constitute schmidt flip-flop, single state trigger and harmonic oscillator. Due to the use of flexible, convenient, so 555 in the produce of the waveform timer and exchange, measurement and control, home appliances, electronic toys in many areas have been widely applied. Key words: Digital-simulation hybrid integrated circuit;Schmitt toggle;Waveform generation and exchange 1概述 555定时器的简介 555定时器是一种多用途的数字——模拟混合集成电路,利用它能极方便地构成施密特触发器、单稳态触发器和多谐振荡器。由于使用灵活、方便,所以555定时器在波形的产生与交换、测量与控制、家用电器、电子玩具等许多领域中都得到了广泛应用。自从signetics公司于1972年推出这种产品以后,国际上个主要的电子器件公司也都相继的生产了各自的555定时器产品。尽管产品型号繁多,但是所有双极型产品型号最后的3位数码都是555,所有CMOS产品型号最后的4位数码都是7555.而且,它们的功能和外部引脚排列完全相同。

单片机课程设计秒表定时器

单片机原理与应用课程设计说明书 题目:秒表计时器 系部:信息与控制工程学院 专业:自动化 班级: 学生姓名: 学号: 指导教师: 2011年12月8 日

目录 1 设计任务与要求 (1) 2 设计方案 (2) 3 硬件电路设计 (7) 4 软件设计 (8) 5 结论 (12) 参考文献 (14)

1 设计任务与要求 1.1课程设计的目的 1.1.1根据单片机课程所学内容,结合其他相关课程知识,设计电子秒表,以加深对单片机知识的理解,锻炼实践动手能力,为以后的毕业设计和工作打下坚实基础;1.1.2 熟悉汇编语言或C语言的程序设计方法,熟悉51系列单片机的使用; 1.1.3掌握单片机的内部功能模块的应用,如定时器/计数器、中断、I/O口、串行口通讯等功能; 1.1.4掌握单片机应用系统的软硬件设计过程、方法及实现。 1.2课程设计的任务 设计一个单片机控制的秒表系统。利用单片机的定时器/计数器定时和记数的原理,结合显示电路、LED数码管以及按键来设计秒表计时器。 基本要求:用AT89C51设计一个2位LED数码显示“秒表”,显示时间为00~99秒,每秒自动加一。当按“开始”按键,开始计数,数码管显示从00开始每秒自动加一;按“暂停”按键,系统暂停计数,数码管显示当时的计数;按“复位”按键,系统清零,数码管显示00。 1.3课程设计的要求 1.1.1利用单片机内部定时器设计一个秒表, 要求能实现秒表的启动、停止和复位。 1.1.2焊接电路,编制程序,实现秒表计时器的基本功能,并完成课程设计说明书。 1.1.3课程设计期间遵守纪律,注意安全,爱护设备,合理分工,加强合作。

555定时器工作原理及应用

555定时器 摘要:555定时器是一种多用途的数字——模拟混合集成电路,利用它能极方便地构成施密特触发器、单稳态触发器和多谐振荡器。由于使用灵活、方便,所以555定时器在波形的产生与交换、测量与控制、家用电器、电子玩具等许多领域中都得到了广泛应用。本文主要介绍了555定时器的工作原理及其在单稳态触发器、多谐振荡器方面的应用。 关键词:数字——模拟混合集成电路;施密特触发器;波形的产生与交换 555 Timer Abstract:555 the timer is a general-purpose digital simulation hybrid integrated circuit, and use it to a very convenient to constitute schmidt flip-flop, single state trigger and harmonic oscillator. Due to the use of flexible, convenient, so 555 in the produce of the waveform timer and exchange, measurement and control, home appliances, electronic toys in many areas have been widely applied. Key words:Digital-simulation hybrid integrated circuit;Schmitt toggle;Waveform generation and exchange 1概述 1.1 555定时器的简介 555定时器是一种多用途的数字——模拟混合集成电路,利用它能极方便地构成施密特触发器、单稳态触发器和多谐振荡器。由于使用灵活、方便,所以555定时器在波形的产生与交换、测量与控制、家用电器、电子玩具等许多领域中都得到了广泛应用。自从signetics公司于1972年推出这种产品以后,国际上个主要的电子器件公司也都相继的生产了各自的555定时器产品。尽管产品型号繁多,但是所有双极型产品型号最后的3位数码都是555,所有CMOS产品型号最后的4位数码都是7555.而且,它们的功能和外部引脚排列完全相同。 1.2 555定时器的应用 (1)构成施密特触发器,用于TTL系统的接口,整形电路或脉冲鉴幅等;(2)构成多谐振荡器,组成信号产生电路; (3)构成单稳态触发器,用于定时延时整形及一些定时开关中。

相关文档