文档库 最新最全的文档下载
当前位置:文档库 › 数字电路答案第三章 1

数字电路答案第三章 1

数字电路答案第三章 1
数字电路答案第三章 1

第三章组合逻辑电路

本章以逻辑代数为数学工具,从逻辑门构成的组合逻辑电路入手,介绍分析和设计组合逻辑电路的基本方法,并讨论组合逻辑电路中的竞争冒险现象,为进一步学习带记忆功能的电路奠定基础。同时重点讨论若干常用中规模集成电路模块及其应用,利用VHDL语言实现数字电路的描述及设计。

第一节基本知识、重点与难点

一、基本知识

(一)组合电路的分析与设计

1.组合电路基本概念

任一时刻的输出状态只取决于该时刻各输入状态的组合,与电路的原状态无关。电路只有从输入到输出的通路,没有从输出到输入的反馈回路。电路由逻辑门构成,不含记忆元件。

2.组合电路分析

用逻辑函数描述已知的电路,找出输入、输出间的逻辑关系,从而判断电路功能。

组合电路的分析步骤:

(1)由已知逻辑电路图逐级写出逻辑表达式;

(2)化简逻辑表达式,可以采用代数法或卡诺图法化简表达式;

(3)由表达式列出真值表;

(4)根据表达式或真值表分析并说明电路实现的逻辑功能。

3.组合电路设计

组合电路的设计是根据实际逻辑问题提出的要求,设计出满足要求的最简单或者最合理的组合电路。实现逻辑电路的方法有多种,采用小规模、中规模以及可编程逻辑器件,采用的器件不同,其设计方法有所不同,但是设计过程中对基本逻辑问题的描述、设计思路有其共性。

(二)组合电路的竞争冒险

1.组合电路中的竞争冒险现象

在组合电路中,信号由不同的途径达到门电路输入端的时间有先有后,这种现象称为竞争。由于竞争可能引起电路输出发生的瞬间尖峰脉冲现象称为冒险。竞争冒险现象将影响电路的工作速度、限制电路的最高工作频率,有时会导致电路无法正常工作。

2.竞争的类型

有两种类型的竞争可能产生冒险现象,一个门电路的多个输入信号同时变化引起的竞争;一个信号经不同路径传到同一个门的输入端,由于信号到达时间不同引起的竞争。

3.冒险现象的判断

在电路输入端只有一个信号改变的情况下,可根据逻辑表达式,采用代数法和卡诺图法判断组合电路是否存在冒险。

4.竞争冒险现象的消除

(1)加冗余项;(2)接滤波电容;(3)加选通信号。

(三)常用组合电路模块的功能

常用组合电路模块有编码器、译码器、数据选择器、数值比较器和加法器等。

1.编码器

数字系统中常采用多位二进制数码的组合对具有某种特定含义的信号进行编码,完成编码功能的逻辑电路称为编码器。编码器是一个多输入多输出电路,如果需要对m个输入信号进行编码,则需要n位二进制编码,n2≥m。常用的编码器有二进制编码器、优先编码器和二—十进制编码器等。

2.译码器

译码器将二进制代码翻译成具有特定含义的输出信号。常用的译码器有二进制译码器、二—十进制译码器和数字显示译码器等。常用的有3线-8线译码器74138、4线-10线8421BCD 译码器7442等。

3.数据选择器

数据选择器根据地址选择信号从多路输入数据中选择一路送到输出端。数据选择器可等效成一个单刀多掷开关。常用的有4选1数据选择器74153、8选1数据选择器74151。

4.数值比较器

数值比较器可以对两个位数相同的二进制整数进行数值比较,判定其大小。常用的有4位二进制数值比较器7485。

5.加法器

实现二进制数加法运算的电路有半加器和全加器。将来自低位的进位以及两个1位二进制数相加产生和、进位称为全加;不考虑来自低位的进位的加法运算为半加器。实现半加运算的电路称为半加器,实现全加运算的电路称为全加器,常用的有快速进位4位加法器74283。

(四)常用组合电路模块的应用

常用组合电路模块属于中规模集成器件(MSI),其应用主要有几个方面:模块本身功能的使用、模块的扩展、用MSI设计其它功能的组合电路。这里主要总结最后一个方面。

1.用MSI设计组合电路的步骤

用MSI器件进行组合电路的设计没有固定的模式和统一的设计方法,通常不用考虑逻辑函数的最简形式。设计步骤的一般原则是:分析设计要求、求逻辑函数、选择适当形式的函数式、画逻辑图。选择的MSI器件不同,其函数的表达形式有所不同,因此需要根据器件的选择,灵活改变逻辑函数的表达方式。

2.用加法器设计组合电路

加法器除用作二进制加法运算外,还可以外加一些门电路实现其他算术运算,如减法运算、乘法运算、数码比较、代码转换、BCD码的加减法等。

3.用译码器设计组合电路

由于二进制译码器的n变量输入可以提供2n个输出,且为n变量的全部最小项或全部最小项的非。例如2-4线译码器有输入信号A、B,有4个输出信号Y0、Y1、Y2、和Y3,这4个输出分别是输入信号A、B的全部最小项。

任何组合逻辑函数都可以展开成最小项表达式,因此,用译码器可以实现任意组合逻辑电路。n变量逻辑函数可以用n变量二进制译码器和门电路实现。用译码器实现组合逻辑电路的优点是:不用化简函数,可以直接利用函数的最小项形式;用一个译码器可同时实现多输出函数。

4.用数据选择器设计组合电路

用数据选择器可以实现组合逻辑函数的步骤如下:

(1)选择数据选择器。根据给定组合函数的变量数确定选用何种数据选择器。通常数据选择器地址位数与给定函数的变量个数相等。

(2)确定数据选择器地址端与设计函数输入变量的连接。

(3)求数据选择器数据输入端的表达式。

(4)画出逻辑电路图。

用数据选择器实现组合逻辑函数时应注意:

(1)如果设计函数选择不同变量作为数据选择器的地址输入端,将得到不同的设计结果。

(2)用数据选择器实现多输出函数时,每个输出函数都要单独使用一个数据选择器。即数据选择器的数量与输出函数的个数相同。

(五)VHDL语言的基本应用

1.VHDL的基本组成

VHDL可以把任何复杂的电路视为一个模块,一个模块分为三个组成部分:程序包、设计实体和结构体。程序包是设计中的子程序和公用数据类型的集合,每个模块中的程序包有IEEE标准程序包或设计者自身设计的程序包,调用的数量不限。模块中仅有一个设计实体,设计实体提供该设计模块的端口信息,是VHDL设计电路的最基本部分。结构体描述的是实体的内部电路,描述实体内部的硬件互连关系、数据的传输和变换等。一个实体可以对应多个结构体,每个结构体可以代表该硬件的某一方面特性,例如行为特性,结构特性。

2.VHDL的行为描述

在VHDL中,描述电路逻辑的程序称为行为描述,行为描述有并行行为描述、进程行为描述和顺序行为描述。三种行为描述对应三种描述语句:并行语句、进程语句和顺序语句,这些语句可以独立成为行为描述体,又可以相互联系成为混合描述体。

3.VHDL的结构描述

VHDL的结构描述,就是要描述电路由哪些子元件组成以及各个子元件之间的互连关系。结构描述比行为描述更加具体化,行为描述的基本语句是进程语句,而结构描述的基本语句则是调用元件语句。

二、重点与难点

重点:

1.组合电路的基本概念

组合电路的信号特点、电路结构特点以及逻辑功能特点。

2.组合电路的分析与设计

组合电路分析是根据已知逻辑图说明电路实现的逻辑功能。

组合电路设计是根据给定设计要求及选用的器件进行设计,画出逻辑图。如果选用小规模集成电路SSI,设计方法比较规范且容易理解,用SSI设计是读者应掌握的最基本设计方法。由于设计电路由门电路组成,所以使用门的数量较多,集成度低。

若用中规模集成电路MSI进行设计,没有固定的规则,方法较灵活。

无论是用SSI或MSI设计电路,关键是将实际的设计要求转换为一个逻辑问题,即将文字描述的要求变成一个逻辑函数表达式。

3.常用中规模集成电路的应用

常用中规模集成电路有加法器、比较器、编码器、译码器、数据选择器和数据分配器等,重要的是理解外部引脚功能,能在电路设计时灵活应用。

4.竞争冒险现象

竞争冒险现象的产生原因、判断是否存在竞争冒险现象以及如何消除。

难点:

1.组合电路设计

无论是用SSI还是用MSI设计电路,首先碰到的是如何将设计要求转换为逻辑问题,得

到明确的真值表,这一步既是重点又是难点。总结解决这一难点的方法如下:(1)分析设计问题的因果关系,分别确定输入变量、输出变量的个数及其名称。

(2)定义逻辑变量0、1信号的含义。无论输入变量、输出变量均有两个状态0、1,这两个状态代表的含义由设计者自己定义。

(3)再根据设计问题的因果关系以及变量定义,列出真值表。

2.常用组合电路模块的灵活应用

同样的设计要求,用MSI设计完成后,所得的逻辑电路不仅与所选芯片有关,而且还与设计者对芯片的理解及灵活应用能力有关。读者可在下面的例题和习题中体会。

3.硬件描述语言VHDL的应用

VHDL的应用非常灵活,同一个电路问题可以有不同的描述方法,初学者可以先仔细阅读已有的程序实例,再自行设计。

三、考核题型与考核重点

1.概念与简答

题型1为填空、判断和选择;

题型2为叙述基本概念与特点。

建议分配的分数为3~6分。

2.综合分析与设计

题型1为根据已知电路分析逻辑功能;

题型2为根据给定的逻辑问题,设计出满足要求的逻辑电路。

建议分配的分数为6~12分。

第二节典型题解

例题3.1 分析例题3.1图所示电路的逻辑功能。

解:(1)根据已知逻辑电路,从输入端到输出

端逐级求函数表达式:

XYZ

A=AX

B=AY

C=AZ

D=

Z

XYZ

Y

XYZ

X

XYZ

AZ

AY

AX

BCD

Y=

=

=

Z

XYZ

Y

XYZ

X

XYZ+

+

=

)

)(

(

)

(Z

Y

X

Z

Y

X

Z

Y

X

XYZ+

+

+

+

=

+

+

=

(2)根据输出函数表达式列出真值表如例题3.1表所示。

(3)根据真值表分析电路的逻辑功能。

分析例题3.1表,电路只有当输入取值不同时,

输出为1;输入取值相同时,输出为0。因此,例

题3.1图所示的电路是三变量非一致电路。

例题3.2 试设计一个能判断两个二进制数字大、

小和相等的电路,用门电路和译码器实现。

解:(1)根据题意设两个两位二进制数A和B

为输入,用四个输入变量A1A0B1B0表示,A1A0表示

& B

& C

& D

&

F & A

X

Y

Z

例题3.1图

数A ,B 1B 0表示数B 。两个数的比较结果共有三种 情况,分别为A >B 、A =B 和A <B 、,设三个输出 变量F A 、F A=B 和F B 分别表示这三种情况,输出F A

取值为1表示A >B ,取值为0表示A ≯B ,F A=B 和F B 的0、1取值的定义相似。

(2)根据题目对输入、输出变量提出的要求以及信号的定义,列写真值表如例题 3.2表所示。

(3)由真值表,求函数表达式。

方法一:作函数卡诺图,化简函数,得到简化后的函数表达式:

B

A B A B A F F F B B A B A A B A F B A A B B A B A F =++=++==0100011100101011 例题3.2表 真值表

方法二:不求最简函数式,寻找变量、函数之间的关系,得到函数的另一种表达形式:

∑∑∑====)

11,7,6,3,2,1(),,,()15,10,5,0(),,,()14,13,12,9,8,4(),,,(010*********m B B A A F m B B A A F m B B A A F B B A A

(4)由逻辑表达式画出门电路实现的逻辑图如例题3.2图所示。 方法一设计的电路如例题3.2图(a )所示。

例题3.2图(a ) & & &

≥1 F A=B

1 A 1 A 0

1

&

F A 1

&

& &

≥1

1

B 1

B 0

1 F B

1

该电路是4输入变量、3输 出的逻辑函数,故选择4线-16 线译码器。译码器为低有效输出, 因此选择附加与非门,根据方法 二求出的表达式,用译码器实现 的电路如例题3.2图(b )所示。

例题3.3 由四位超前进位二

进制加法器组成的电路如例题3.3 图所示。该电路的输入X 3X 2X 1X 0 为8421BCD 码,试分析电路实现

的逻辑功能。

解:四位超前进位二进制加法器实现功能为:F =A +B +CI 0,输入信号A 、B 、CI 0,输出信号F 和CO 4。被加数A =A 3A 2A 1A 0、加数B =B 3B 2B 1B 0、低位的进位位CI 0;输出和F =F 3F 2F 1F 0、输出进位位CO 4。

分析电路的连接,A 3A 2A 1A 0= X 3X 2X 1X 0,B 3=B 0=CI 0=0,B 2=B 1=X 3+X 2X 1+X 2X 0=∑m (5,6,7,8,9),F 3 F 2F 1F 0=Y 3Y 2Y 1Y 0。

当输入X 3X 2X 1X 0取值小于5时,B 2=B 1=0,则Y 3Y 2Y 1Y 0= X 3X 2X 1X 0。

当输入X 3X 2X 1X 0取值大于等于5时,B 2=B 1=1,则Y 3Y 2Y 1Y 0= X 3X 2X 1X 0+0110。 例题3.3图示电路的真值表如例题3.3表所示。

2421BCD 码,该电路实现了8421BCD 码向2421BCD 码的代码转换。

例题3.4 3线-8线译码器74138及门电路组成的组合逻辑电路如例题3.4图所示,其中,输入信号A 7~A 0为地址线,试写出译码器各输出端有效时对应的地址。

解:分析已知电路的连接:译码器的使能端473A A S +=,562A A S =,31A S =,输入端A 2~A 0。由于译码器工作条件为1,0123===S S S ,因此有A 7=0,A 4=0,A 5=1,A 6=1,A 3=1,即A 7~A 3=01101。若0Y 输出端有效,即00=Y ,则有A 2A 1A 0=000,对应地址应为A 7A 6A 5A 4A

3A 2A 1A 0=01101000=68H 。同理各输出端有效时,对应的地址为:

01=Y ,A 7A 6A 5A 4A 3A 2A 1A 0=01101001=69H ; 02=Y ,A 7A 6A 5A 4A 3A 2A 1A 0=01101010=6AH ; 03=Y ,A 7A 6A 5A 4A 3A 2A 1A 0=01101011=6BH ; 04=Y ,A 7A 6A 5A 4A 3A 2A 1A 0=01101100=6CH ; 05=Y ,A 7A 6A 5A 4A 3A 2A 1A 0=01101101=6DH ;

例题3.2图(b )

A A

B B 例题3.4图

A 0 A 1 A 2

A 3 A 5 A 6 A 4 A 7

& Σ

0 3 A 0 3 B CI

0 3 Σ

CO

Y 0 Y 1 Y 2 Y 3

X 0 X 1 X 2 X 3 X 3 X 2 X 1 X 2 X 0

例题3.3图

≥1

06=Y ,A 7A 6A 5A 4A 3A 2A 1A 0=01101110=6EH ; 07=Y ,A 7A 6A 5A 4A 3A 2A 1A 0=01101111=6FH 。

例题3.5 用4选1数据选择器和8选1数据选择器分别实 现函数F (A ,B ,C )=∑m (1,2,3,4)。

解:8选1数据选择器的输出:

+

+++=3012201210120012D A A A D A A A D A A A D A A A F

7012601250124012D A A A D A A A D A A A D A A A +++

将被设计的函数表达式进行变换,与数据选择器的输出函数式进行比较对照,即可求出数据选择器数据端和地址端的连接。

(1)用4选1数据选择器实现设计 4选1数据选择器的输出:

301201101001D A A D A A D A A D A A F +++=

设计函数:F (A ,B ,C )=∑m (1,2,3,4)=C B A BC A C B A C B A +++

将两个函数式进行比较,若令A 1=A 、A 0=B ,变换设计函数式:C B A B A C B A F ++=。则有D 0=C 、D 1=1、D 2=C 、D 3=0。根据设计方案,得到的逻辑图如例题3.5图(a )所示。

(1)用8选1数据选择器实现设计 8选1数据选择器的输出:

+

+++=3012201210120012D A A A D A A A D A A A D A A A F

7012601250124012D A A A D A A A D A A A D A A A ++++

设计函数:F (A ,B ,C )=∑m (1,2,3,4)=C B A BC A C B A C B A +++

将两个函数式进行比较,若令A 2=A 、A 1=B 、A 0=C 。则有D 1=D 2=D 3=D 4=1,D 0=D 5=D 6=D 7=0。根据设计方案,得到的逻辑图如例题3.5图(b )所示。

第三节 题解

A

B C F

(b ) F (a ) 例题3.5图

自我检测题解

题3.1答:组合逻辑电路如自我检测题3.1图(a )所示,其输入信号A 、B 、C 的波形如自我检测题3.1图(b )所示。

(1)填写真值表自我检测题3.1表。

(2)逻辑表达式:

F =C C B B A A B A B B A A B A C B B A A B A ????????????????????

利用反演律对逻辑表达式进行变换并化简,化简后得到

F =C B A C B A C B A C B A ??+??+??+??

(3)在自我检测题3.1图(b )上画输出信号F 的波形图。

根据真值表或化简后的表达式,可以得到输出信号F 的波形图如自我检测题3.1图(c )所示。

(4)当电路中输入信号1的个数为偶数时输出为1,因此电路的功能是 判断输入信号1的奇偶性 。

题3.2答:典型的VHDL 语言由三部分 参数部分—程序包 、 接口部分—设计实体 和 描

自我检测题3.1图

(a) 逻辑图

(b) 波形图

F

C

A B C

F 自我检测题3.1图(c ) A B C

述部分—结构体 组成。

题3.3答:进程行为之间执行顺序为 并行执行方式 ,进程行为内部执行顺序为 顺序执行方式 。

题3.4答:行为描述的基本单元是 进程语句 ,结构描述的基本单元是 调用元件语句 。 题3.5答:结构体中的每条VHDL 语句的执行顺序与排列顺序 无关 。

题3.6答:标量数据类型包括 整数类型 、 实数类型 、 物理类型 和 枚举类型 。 题3.7答:自我检测题3.7图所示是十进制—BCD 码编码器的逻辑图。试根据逻辑图回答下列问题。

(1)列写输出端F 0 、F 1 、F 2和F 3的逻辑表达式;

F 0=IN 9+IN 7+IN 5+IN 3+IN 1 F 1=IN 7 +IN 6+IN 3+IN 2 F 2=IN 7+IN 6+IN 5+IN 4

F 3=IN 9+IN 8 (2)列出真值表

(3)选择填空

(a )编码器的功能是 B ;

(A )将十进制数转换成二进制码; (B )将十进制数转换成8421BCD 码;

(C )将十进制数转换成格雷码。

(b )若输入端仅IN 5为高电平,则输出Y 3Y 2Y 1Y 0 = A ;

(A )0101;(B )1010;(C )1011;(D )1001。 (c )编码器输出端 B 有效; (A ) 低电平;(B )高电平; (C )任意电平;(D )未知。

(d )若欲输入十进制数0,则输入端应 B ;

(A )全部为高电平; (B )全部为低电平。

(e )编码器 B 优先编码功能,因而 D 多个输入端同时为1。

(A )有; (B )无; (C )允许; (D )不允许。 题3.8答:是非题(对的填“对”,错的填“错”)

(1)数字显示电路由译码器、驱动器和数字显示器组成。(对)

(2)译码器的作用是将二进制代码翻译成另一种代码或特定的输出信号。它是由逻辑

自我检测题3.7图

1 F

2 F 3

门电路或计数器组成。(错)

(3)对共阴极的显示器件,译码器输出低电平有效,对共阳极结构的显示器件,译码器输出高电平有效。(错)

思考题题解

题3.1 简述组合逻辑电路的分析步骤和设计步骤。

答:组合逻辑电路的分析是用逻辑函数来描述已知的电路,找出输入、输出间的关系,从而判断电路功能。组合逻辑电路分析有以下几个步骤:首先根据逻辑电路图写出逻辑函数表达式,然后利用代数法或图解法化简函数,列出真值表,最后根据真值表判断电路的逻辑功能。

组合逻辑电路的设计是根据实际逻辑问题,求出实现相应逻辑功能的最简单或者最合理的数字电路的过程。逻辑电路的设计步骤如下:

首先分析设计要求,建立真值表,选择所用门的类型,将逻辑表达式化为最简形式,或者变换为最合理的表达式,最后画出逻辑图。

题3.2 组合逻辑电路如思考题3.2图(a )所示。 (1)写出函数F 的表达示。

(2)将函数F 化为最简与或式,并用与非门实现之。 (3)若改用或非门实现,试写出相应的表达式。

解:(1)根据题图3.3(a )已知电路,写出函数F 的表达式如下:

F =C A D B D C B A ???+???

(2)将函数F 化简为最简与或表达式,并用与非门实现。

F =C A D B D C B A ???+??? C A D B D C B A ???????= )()(C A D B D C B A ?+??+++=

D C A CD B BC A C A D B A ++++?= CD B C A D B A ++?=

CD B D B A C A ???=

根据与非表达式画出用与非门实现的电路如思考题3.2图(b )所示。

思考题3.2图 (a )

(b ) (c )

(3)若改用或非门实现,首先写出相应的表达式。

画出F的卡诺图,得到F的与或式,从而求出F的与或非式,变换得到或非-或非式。

F=D

+

A?

+

?

C

+

+

C

AB

B

C

D

A

=C

+

+

+

+

+

+

+

+

D

D

B

C

A+

A

C

A

B

函数F的或非门电路如思考题3.2图(c)所示。

题3.3 什么叫竞争-冒险现象?当门电路的两个输入端同时向相反的逻辑状态转换(即一个从0变成1,另一个从1变成0)时,输出是否一定有干扰脉冲产生?

答:竞争指的是一个门电路多个输入信号同时跳变,或者一个信号经过不同路径传到同一个门电路的输入端导致信号到达时间不同的现象。冒险指的是由于竞争可能在电路输出端产生的毛刺现象。当门电路的两个输入端同时向相反的逻辑状态转换时,输出不一定有干扰脉冲产生。

3.4 简述VHDL的主要优点。

答:VHDL的覆盖面广,描述能力强,是一个多层次的硬件描述语言,VHDL已成为IEEE 承认的一个工业标准,是一种通用的硬件描述语言。

VHDL有良好的可读性,可以被计算机接受,也容易被读者理解,VHDL源文件既是程序又是技术人员之间交换信息的文件,也可作为合同签约者之间的文件;VHDL的生命周期长,因为VHDL硬件描述与工艺无关;VHDL支持大规模设计的分解和已有设计的再利用。

题3.5 一个VHDL设计是否必须有一个结构体?结构体的目的是什么?一个设计可以有多个结构体吗?

答:VHDL 设计中必须有结构体。结构体描述实体硬件的互连关系、数据的传输和变换以及动态行为。一个实体可以对应多个结构体,每个结构体可以代表该硬件某方面的特性。例如用一个结构体表示某硬件的行为特性,用另一结构体表示该硬件的结构特性。

题3.6 端口模式IN和INOUT有什么不同?

答:端口模式表示电路的数据流向。端口模式IN表示只能向端口写入数据,而端口模式INOUT表示既可以向端口写入数据,又可以从端口读出数据。

题3.7 编码器的逻辑功能是什么?优先编码器与一般编码器有何区别?

答:编码器可以将一组相互独立的信号进行编码,形成一组相互关联的信号,以达到减少信号个数、增强信号表达能力的目的。一般编码器只允许一个信号为有效,而优先编码器允许同时有多个信号有效,但只识别优先级最高的信号。

题3.8 要区别24个不同信号,或者说给24个输入信号编码,需要几位二进制代码?电路有多少个输出?如果区别64个信号有将如何?

答:若要区别24个不同信号,至少要用5位二进制代码,因此电路有5个输出。

若区分64个信号至少用6位二进制代码,因此电路有6个输出。

题3.9 什么叫译码器?有哪些常用译码器?各有何特点?

答:将具有特定含义的不同的二进制代码辨别出来,翻译成为对应输出信号的电路就是译码器。常用的译码器有变量译码器和数字显示译码器。

对于译码器每一组输入编码,在若干个输出中仅有一个输出端为有效电平,其余输出皆处于无效电平,这类译码器称为变量译码器。常用的有2-4线译码器、3-8线译码器、4-10线8421BCD译码器等。

在数字电路中,需要将数字量的代码经过译码,送到数字显示器显示。能把数字量翻译成数字显示器能识别的译码器称为数字显示译码器,常用的有七段显示译码器。

题3.10 数据选择器和数据分配器各具有什么功能?若想将一组并行输入的数据转换成

串行输出,应采用哪种电路?

答:数据选择器根据控制信号的不同,在多个输入信号中选择其中一个信号输出。数据分配器则通过控制信号将一个输入信号分配给多个输出信号中的一个。若要将并行信号变成串行信号应采用数据选择器。

题3.11 一个有使能端的译码器能否用作数据分配器?怎样接线可以使一个八路输出的数据分配器连接成一个3线-8线译码器?

答:带使能端的译码器能用作数据分配器。以74138译码器芯片为例,将其连接成数据分配器如思考题3.11图(a )所示。

可以用八路输出的数据分配器连接成3线-8线译码器,连接电路如思考题3.11图(b )所示。

习题题解

习题3.1 组合电路的逻辑框图如习题3.1图(a )所示。电路要求如下:

(1)当变量A 1A 0表示的二进制数≥B 1B 0表示的二进制数时,函数F 1=1,否则为0。 (2)当变量A 1A 0的逻辑与非)(01和变量B 1B 0的逻辑异或)(01B B ⊕相等时,函数F 2

为高电平,否则为0。

试设计此组合电路。 解:(1)根据题意确定输入变量为A 1A 0B 1B 0,输出变量为F 1F 2,如习题3.1图(a )。 (2)根据题目对输入、输出变量提出的要求,列写真值表如习题3.1表所示。

思考题3.11图

&

A 0A 1A 2Y 0 Y 1 Y 2 Y 3

F

Y 5 Y 6 Y 7

Y 4 (a ) A A A D 0 D 1 D 2 D 3 F D 5 D 6 D 7 D 4 (b )

(3)由真值表,作函数卡诺图如习题3.1图(b )所示。

卡诺图化简函数,得到最简与或式:

001010111B A A B B A B A F ++=

010101010100100110112B B A A B B A A B B A B B A B B A B B A F +++++=

变换F 2的表达式

10101010100110

1010100112)( )()( )()(B B A A B B A A B B A B B A B B A A B B A B B A F ⊕⊕=⊕+⊕+⊕=⊕+⊕+⊕= (4)由逻辑表达式画出逻辑图如习题3.1图(c )所示。

习题3.2 用与非门设计四变量的多数表决电路。设输出为F ,当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其它状态时输出为0。

解:(1)根据题意确定输入变量为ABCD ,设输出变量F 。

(2)根据题目对输入、输出变量提出的要求,列写真值表如习题3.2表所示。

(a )

A A

B 1B 0F 1 F 0

(b )

A 1 A 0

&

&

& ≥1 F 1

A 1

B 1

=1

&

=1

F 2

B 1 B 0

(c )

A 0

B 1 B 0 A 1 A 0 B 0 习题3.1图

(3)由真值表,作函数卡诺图如习题3.2图(a )所示

卡诺图化简函数,得到最简与或式,经函数变换求与非-与非式:

F=ABC+ABD +ACD+BCD =BCD ACD ABD ABC ???

(4)由与非-与非表达式画出逻辑图如习题3.2(b )图所示。 习题3.3 一个组合逻辑电路有两个控制信号C 1和C 2,要求: (1)C 1C 2=00时,B A F ⊕=; (2)C 1C 2=01时,AB F =; (3)C 1C 2=10时,B A F +=;

(4)C 1C 2=11时,AB F =。

试设计符合上述要求的逻辑电路(器件不限)。 解:题目中要求控制信号对不同功能进行选择,故选用数据选择器实现,分析设计要求,得到逻辑表达式:

)()()()(21212121AB C C B A C C AB C C B A C C F ++++⊕=。

4选1数据选择器的逻辑表达式:

310210110010D A A D A A D A A D A A F +++=。

对照上述两个表达式,得出数据选择器的连接方式为:

A 0=C 1,A 1=C 2,

B A D ⊕=0,AB D =1,B A D +=2,AB D =3。

根据数据选择器的连接方程,得到电路如习题3.3图所示。

习题3.4 试设计一个具有两种功能的 码制转换电路,并画出电路图。

K 为控制

习题3.2图

(b )

变量。K =0时,输入C 、B 、A 为二进制 码,输出F 3F 2F 1为循环码。K =1时,输 入C 、B 、A 为循环码,输出F 3F 2F 1为二 进制码。写出输出函数的逻辑表达式。

解:(1)根据题意定义输入变量为 KCBA ,输出变量F 3F 2F 1。

(2)根据题目对输入、输出变量提出 的要求,列写真值表如习题3.4表所示。

(3)由真值表,作函数卡诺图如习题3.4图(a )所示。 经卡诺图化简后,得到最简与或式:

A

B K

C A B C A B C A B K A B K KCBA A B KC F B

C B C B C F C

F ⊕⊕=+?++?++?=⊕=+==

)(123

(4)由逻辑表达式画出逻辑图如习题3.4图(b )所示。

习题3.3图

(a ) (b )

F 1

K

A C

B F 2

3

习题3.5 试设计一个5211BCD 码的判决电路。当输入代码D 、C 、B 、A 中有奇数个1时,电路的输出F 为1,否则为0。试用与非门实现该电路,写出输出函数F 的与非-与非表达式。

解:(1)根据题意确定输入变量为DCBA ,输出变量为F 。

(2)根据题目对输入、输出变量提出的要求,列写真值表如习题3.5表所示。

(3)由真值表,作函数卡诺图如习题3.5图(a )所示。

卡诺图化简函数,得到最简与或式,变换函数得到与非-与非式:

A

B D

C A C

D A C D A C D B D A B DC A C D A C D A C D B D F =++++=

(4)由与非-与非式画出逻辑图如习题3.5图(b )所示。

习题3.6 试分析习题3.6图电路中当A 、B 、C 、D 单独一个改变状态时是否存在竞争冒险现象?如果存在竞争冒险现象,那么都发生在其他变量为何种取值的情况下?

解:根据已知电路列写逻辑表达式如下:

F =D C C B C B A CD A +++

习题3.6图 C F

D B A 习题3.5图 (b )

习题3.7图

F

B C (a)

F

B C (b) D F

B A

C (c)

(d)

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

数字电路与系统分析第一章习题答案

数字电路与系统习题参考答案 南京邮电学院电子工程系 2003/12

习题答案 1.1将下列各式写成按权展开式: (352.6)10=3×102+5×101+2×100+6×10-1 (101.101)2=1×22+1×20+1×2-1+1×2-3 (54.6)8=5×81+54×80+6×8-1 (13A.4F)16=1×162+3×161+10×160+4×16-1+15×16-2 1.2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。 解:略 1.3二进制数00000000~11111111和0000000000~1111111111分别可以代表多少个数? 解:分别代表28=256和210=1024个数。 1.4 将下列个数分别转换成十进制数:(1111101000)2,(1750)8,(3E8)16 解:(1111101000)2=(1000)10 (1750)8=(1000)10 (3E8)16=(1000)10 1.5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16 解:结果都为:(10001000)2 1.6 将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16 解:结果都为(77)8 1.7 将下列个数分别转换成十六进制数:(11111111)2,(377)8,(255)10 解:结果都为(FF)16 1.8 转换下列各数,要求转换后保持原精度: 解:(1.125)10=(1.0010000000)10——小数点后至少取10位 (0010 1011 0010)2421BCD=(11111100)2 ——先将2421BCD码转换成十进制数(252)10,再转换成二进制数。 (0110.1010)余3循环BCD码=(1.1110)2 ——余3循环BCD码中的1和0没有权值意义,因此先转换成十进制数(1.9)10,得出原精度为10-1,转换的二进制的小数位k≥3.3,因此至少取4位。 1.9 用下列代码表示(123)10,(1011.01)2: 解:(1)8421BCD码: (123)10=(0001 0010 0011)8421BCD (1011.01)2=(11.25)10=(0001 0001.0010 0101)8421BCD (2)余3 BCD码

《数字电路》期末模拟试题及答案

- 1 - 一、填空题 1. PN 结具有单向导电性。正向偏置时,多子以扩散运动为主,形成正向电流;反向 偏置时,少子漂移运动,形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、截止区、饱和区。 3. 已知三态与非门输出表达式C AB F ?=,则该三态门当控制信号C 为高电平时, 输出为高阻态。 4. 十进制数211转换成二进制数是(11010011)2;十六进制数是(D3)16。 5. 将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容量的乘积。 6. 若用触发器组成某十一进制加法计数器,需要四个触发器,有五个无效状态。 7. 同步RS 触发器的特性方程为n 1n Q R S Q +=+;约束方程为RS=0 。 8. 下图所示电路中,Y 1 =B A Y 1=;Y 2 = ;Y 3 =AB Y 3= 二、选择题 1. 下列函数中,是最小项表达式形式的是____ c _____。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y +?= D. BC A C B A Y +?= 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为__d ___。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等_b __。 A . [111011101]2 B. [567]8 C. [11101110]BCD D. [1F5]16 4.属于组合逻辑电路的是_____b ______ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.M 进制计数器状态转换的特点是:设定初态后,每来_c __个计数脉冲CP ,计数器重 新 B 2 B V CC Y 1

数字电路复习题及答案

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。)1、逻辑电路可以分为组合逻辑电路电路和时序逻辑电路电路。 2、数字电路的基本单元电路是门电路和触发器。 3、数字电路的分析工具是逻辑代数(布尔代数)。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (0001000000010010 )8421BCD 6、数字电路中的最基本的逻辑运算有与、或、非。 7、逻辑真值表是表示数字电路输入和输出之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的或门。 9、表示逻辑函数的4种方法是真值表、表达式、卡诺图、逻辑电路图。 其中形式惟一的是真值表。 10、对于变量的一组取值,全体最小项之和为

1。 11、对于任意一个最小项,只有一组变量的取值 使其值为1,而在变量取其他各组值时 这个最小项的取值都是0。 12、对于变量的任一组取值,任意两个最小项之 积为0。 13、与最小项ABC相邻的最小项有C A。 AB、C B A、BC 14、组合逻辑电路的特点是输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件)。 15、按电路的功能分,触发器可以分为RS、JK、 D、T、 T’。 16、时序电路可分为同步时序逻辑电路和异步时序逻辑电路两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含驱动方程、输出方程、 状态方程)、状态图、状态表、时序图。 18、(251)10 =(11111011)2 =(FB)16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

大工《数字电路与系统》课程考试模拟试卷A答案

大连理工大学网络教育学院 《数字电路与系统》课程考试模拟试卷答案 考试形式:闭卷试卷类型:A 一、单项选择题(本大题共6小题,每小题3分,共18分) 1.B 2.C 3.C 4.B 5.A 6.C 二、填空题(本大题共10空,每空2分,共20分) 1.(1) 213 (2) 12.04 (3) 40D (4) 10101001.1100 2. 三、化简题(本大题共3小题,每小题6分,共18分) =++ 1.答:F XYZ XY XY Z =+(3分) XY XY =(3分) Y 2.答:填卡诺图,圈1,得到最简与或表达式。

(3分) Y B AD ACD ∴ =++ (3分) 3.证明:0A ⊕ 00A A =+ (3分) A = (3分) 四、简答题(本大题共3小题,第1、2小题各7分,第3小题10分,共24分) 1.答: Q Q O O t t S R O O t t (错1处扣1分,图都正确7分满分) 2.答: ln( )DD T T DD T T V V V T RC V V V -+ +- -=- (3分)

3812 2.7 6.3 201010ln()s 12 6.3 2.7 --=????- (2分) 0.267ms 267μs == (2分) 3.答:(1)3 ref f 322821016V 210 V FSR R R ???===? (2分) (2)当123011X X X =时,333 166V 28o V FSR =-=-?=- (2分) 当123110X X X =时,366 1612V 28 o V FSR =-=-?=- (2分) (3),min 311 162V 28 o V FSR =-=-?= (2分) (4)3,max 3217 1614V 28 o V FSR -=-=-?=- (2分) 五、计算题(本大题1小题,共20分) 1.答:(1)分析。分别用变量A 、B 、C 代表三人的表决选择,变量取值可以为1和0,分别代表同意和反对,最后的表决结果为变量Y ,其取值0表示提议未通过,1表示提议通过。 (3分) (2)列出真值表,如下表所示。 五.1题真值表 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 (真值表3分) (3)化简逻辑函数,如下图(a )所示,得到 Y AB BC AC =++ (3分) (4)画出逻辑图,如下图(b )所示。 如果要求用与非门实现该逻辑电路,就应将表达式转换成与非-与非表达式: Y AB BC AC ABBC AC =++= (3分)

数字电子技术基础期末考试试卷及答案

数字电子技术基础期末考试试卷及答案 Document serial number【KKGB-LBS98YT-BS8CB-BSUT-BST108】

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为 12 条、数据线为 8 条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是( D)。 A、或非门 B、与非门 C、异或门 D、OC门

3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A、通过大电阻接地(>Ω) B、悬空 C、通过小电阻接地(<1KΩ) B、 D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为( C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。

数字电子技术基础习题及答案

数字电子技术试卷(1) 一.填空(16) 1.十进制数123的二进制数是 1111011 ;十六进制数是 7B 。 2.是8421BCD 码,其十进制为 861 。 3.逻辑代数的三种基本运算是 与 , 或 和 非 。 4.三态门的工作状态是 0 , 1 , 高阻 。 5.描述触发器逻辑功能的方法有 真值表,逻辑图,逻辑表达式,卡诺图,波形图 。 6.施密特触发器的主要应用是 波形的整形 。 7.设4位D/A 转换器的满度输出电压位30伏,则输入数字量为1010时的输出模拟电压为 。 8.实现A/D 转换的主要方法有 , , 。 三.化简逻辑函数(14) 1.用公式法化简- - +++=A D DCE BD B A Y ,化为最简与或表达式。 解;D B A Y +=- 2.用卡诺图化简∑∑= m d D C B A Y ),,,,()+,,,, (84210107653),,,(,化为最简与或表达式。 四.电路如图1所示,要求写出输出函数表达式,并说出其逻辑功能。(15) 解;C B A Y ⊕⊕=, C B A AB C )(1++=,全加器,Y 为和,1C 为进位。 五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画出Q 端波形(设初态Q =0)(15)

解;(1)AQ Q Q n +=- +1 , (2)、A Q n =+1 六.试用触发器和门电路设计一个同步的五进制计数器。(15) 七.用集成电路定时器555所构成的自激多谐振荡器电路如图3所示,试画出V O ,V C 的工作波形,并求出振荡频率。(15)

数字电子技术考试题及答案

太原科技大学 数字电子技术 课程试卷 B 卷 一、单选题(20分,每小题1分)请将本题答案全部写在下表中 1、8421BCD 码10000001转化为十六进制数是( )。 A 、15 B 、51 C 、81 D 、18 2、n 位二进制数的反码或其原码,表示的十进制数是( )。 A 、21n - B 、2n C 、1 2n - D 、2n 3、TTL 与非门多余输入端的处理是( )。 A 、接低电平 B 、任意 C 、 通过 100W 电阻接地 D 、通过 100k W 电阻接地 4、OD 非门在输入为低电平(输出端悬空)情况下,输出为( )状态。 A 、高电平 B 、低电平 C 、开路 D 、不确定 5、与()Y A B A =e e 相等的逻辑函数为( )。 A 、Y B = B 、Y A = C 、Y A B =? D 、Y A B =e 6、下列(,,)F A B C 函数的真值表中1Y =最少的为( )。 A 、Y C = B 、Y AB C = C 、Y AB C =+ D 、Y BC C =+ 7、( )是组合逻辑电路的特点。 A 、输出仅取决于该时刻的输入 B 、后级门的输出连接前级门的输入 C 、具有存储功能 D 、由触发器构成 8、半加器的两个加数为A 和B ,( )是进位输出的表达式。 A 、AB B 、A B + C 、AB D 、AB 9、欲使JK 触发器1 n Q Q +=,J 和K 取值正确的是( )。 A 、,J Q K Q == B 、J K Q == C 、0J K == D 、,1J Q K == 10、字数为128的ROM 存储器存储容量为1204位,字长为( )位,地址线为( )根。 A 、8,8 B 、8,7 C 、4,7 D 、4,8 11、一个四位二进制减法计数器初始状态为0110,经过101个脉冲有效沿触发后,它的输出是 ( )。 A 、0000 B 、0001 C 、0011 D 、0010 12、要用1K×8的RAM 扩展成8K×16的RAM ,需选用( )译码器。 A 、 3线-8线 B 、2线-4线 C 、1线-2线 D 、4线-16线

《数字电路》期末模拟试题及答案 3

1. 当PN 结外加正向电压时,PN 结中的多子______形成较大的正向电流。 2. NPN 型晶体三极管工作在饱和状态时,其发射结和集电结的外加电压分别处于___ ___偏置和_______偏置。 3. 逻辑变量的异或表达式为:_____________________B A =⊕。 4. 二进制数A=1011010;B=10111,则A -B=_______。 5. 组合电路没有______功能,因此,它是由______组成。 6. 同步RS 触发器的特性方程为:Q n+1 =______,其约束方程为:______。 7. 将BCD 码翻译成十个对应输出信号的电路称为________,它有___个输入 端,____输出端。 8. 下图所示电路中,Y 1 Y 3 =______。 1. 四个触发器组成的环行计数器最多有____个有效状态。 A.4 B. 6 C. 8 D. 16 2. 逻辑函数D C B A F +=,其对偶函数F * 为________。 A .()()D C B A ++ B. ()()D C B A ++ C. ()()D C B A ++ 3. 用8421码表示的十进制数65,可以写成______。 A .65 B. [1000001]BCD C. [01100101]BCD D. [1000001]2 4. 用卡诺图化简逻辑函数时,若每个方格群尽可能选大,则在化简后的最简表达式 中 。 A .与项的个数少 B . 每个与项中含有的变量个数少 C . 化简结果具有唯一性 A 1 A B 3

5. 已知某电路的真值表如下,该电路的逻辑表达式为 。 A .C Y = B . AB C Y = C .C AB Y += D .C C B Y += 化简下列逻辑函数,写出最简与或表达式: 1. 证明等式:AB B A B A B A +?=+ 2. Y 2=Σm (0,1,2,3,4,5,8,10,11,12) 3. Y 3=ABC C AB C B A C B A + ++? 分析设计题: 1.双四选一数据选择器如图所示,其功能表达式如下。现要实现八选一数据选择器的功能(地址信号为 A 2A 1A 0,数据输入端信号为 D 7 ~ D 0 ) ,请画出电路连接图。 1A A A A D Y =(2D Y =( 2.TTL

数字电子技术基础习题及答案

数字电子技术基础考题 」、填空题:(每空3分,共15分) 辑表达式 )和( 卡诺图 路,称为全加器。 等° 17. 根据不同需要,在集成计数器芯片的基础上,通过采用 进位输出置最小数法 等方法可以实现任意进制的技术器。 18. 4. 一个JK 触发器有_2_个稳态,它可存储_J — 位二进制数。 19. 若将一个正弦波电压信号转换成 同一频率的矩形波,应采用 多谐振荡器 _______ 电路。 20. __________________________________________ 把JK 触发器改成T 触发器的方法是J=k=t __________________________________________________ 。 21. N 个触发器组成的计数器最多可以组成 _^n 进制的计数 器。 1逻辑函数有四种表示方法,它们分别是( 真值表 )、( 逻辑图 2. 将2004个“ 1 ”异或起来得到的结果是( 3. 由555定时器构成的三种电路中, )和( 是脉冲的整形电路。 4. TTL 器件输入脚悬空相当于输入( 电平。 5. 基本逻辑运算有:(and not )和(or )运算。 6. 采用四位比较器对两个四位数比较时, 先比较 最咼 位。 7. 触发器按动作特点可分为基本型、 (同步型 主从型 )和边沿型; 如果要把一宽脉冲变换为窄脉冲应采用 积分型单稳态 触发器 9. 目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是 TTL )电路和 CMOS )电路。 10. 施密特触发器有( 2 )个稳定状态?,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为 功能扩展电路、功能综合电路 两种; 12?两二进制数相加时,不考虑低位的进位信号是 加器。 13?不仅考虑两个 本位 .相加,而且还考虑来自 低位进位 _______ 相加的运算电 14.时序逻辑电路的输出不仅和 该时刻输入变量的取值 有关,而且还与_电路原来 的状态 有关。 15?计数器按CP 脉冲的输入方式可分为 同步计数器和 异步计数器。 16?触发器根据逻辑功能的不同,可分为 rs jk 反馈归零法 置数法

数字电路的期末试题及标准答案

数字电路的期末试题 一、客观题:请选择正确答案,将其代号填入()内;(本大题共10小题,每空2分,共20分) ⒈当某种门的输入全部为高电平,而使输出也为高电平者,则这种门将是: A.与非门及或非门; B.与门及或门; C.或门及异或门; D.与门及或非门.( B ) ⒉在如下所列4种门电路中,与图示非门相等效的电路是:( B ) ⒊已知,则函数F和H的关系,应是:( B ) A.恒等; B.反演; C.对偶; D.不确定. ⒋若两个逻辑函数恒等,则它们必然具有唯一的:(A) A.真值表; B.逻辑表达式; C.电路图; D.逻辑图形符号. ⒌一逻辑函数的最小项之和的标准形式,它的特点是:(C) A.项数最少; B.每个乘积项的变量数最少; C.每个乘积项中,每种变量或其反变量只出现一次; D.每个乘积项相应的数值最小,故名最小项. ⒍双向数据总线可以采用( B )构成。 A.译码器; B.三态门; C.与非门; D.多路选择器. ⒎在下列逻辑部件中,不属于组合逻辑部件的是( D )。 A.译码器; B.编码器; C.全加器; D.寄存器. ⒏八路数据选择器,其地址输入端(选择控制端)有( C )个。

A.8个 B.2个 C.3个 D.4个 ⒐为将D触发器转换为T触发器,如图所示电路的虚线框内应是( D )。 A.或非门 B.与非门 C.异或门 D.同或门 ⒑为产生周期性矩形波,应当选用( C )。 A.施密特触发器 B.单稳态触发器C.多谐振荡器 D.译码器 二、化简下列逻辑函数(每小题5分,共10分) ⒈用公式法化简逻辑函数: ⒉用卡诺图法化简逻辑函数:Y(A,B,C,D)=∑m(2 ,3,7,8,11,14) 给定约束条件为m0+m5+ m10+m15=0 三、非客观题(本题两小题,共20分) ⒈如图所示为三输入变量的或门和与门的逻辑图。根据两种不同的输入波形(见图b),画出Y1、Y2的波形。(本题共8分,每个Y1、Y2各 2分) 解

数字电子技术练习题及答案

数字电子技术练习题及 答案 TPMK standardization office【 TPMK5AB- TPMK08- TPMK2C- TPMK18】

数 字电子技术练习题及答案 一、填空题 1、(238)10=( 11101110 )2 =( EE )16。(110110.01)2=( 36.4 )16=( 54.25 )10。 2、德?摩根定理表示为 B A +=( B A ? ) , B A ?=( B A + )。 3、数字信号只有( 两 )种取值,分别表示为( 0 )和( 1 )。 4、异或门电路的表达式是( B A B A B A +=⊕ );同或门的表达式是( B A AB B A ?+=⊙ ) 。 5、组成逻辑函数的基本单元是( 最小项 )。 6、与最小项C AB 相邻的最小项有( C B A )、( C B A ? ) 和 ( ABC ) 。 7、基本逻辑门有( 与门 )、( 或门 )和( 非门 )三种。复合门有( 与非门 )、( 或非门 )、( 与或非门 )和( 异或门 )等。 8、 9、 10、最简与或式的定义是乘积项的( 个数最少 ),每个乘积项中相乘的( 变量个数也最少)的与或表达式。 11、在正逻辑的约定下,“1”表示( 高电平 ),“0”表示( 低电平 )。在负逻辑的约定下,“1”表示( 低电平 ),“0”表示( 高电平 )。 12、一般TTL 门电路输出端( 不能 )直接相连,实现线与。(填写“能”或“不能”) 13、三态门的三种可能的输出状态是( 高电平 )、( 低电平 )和( 高阻态 )。 14、实现基本和常用逻辑运算的(电子电路),称为逻辑门电路,简称门电路。 15、在TTL 三态门、OC 门、与非门、异或门和或非门电路中,能实现“线与”逻辑功能的门为(OC 门),能实现总线连接方式的的门为(三态门)。 16、T TL 与非门的多余输入端不能接( 低 )电平。 17、 18、真值表是将输入逻辑变量的( 所有可能取值 )与相应的( 输出变量函数值 )排列在一起而组成的表格。 19、组合逻辑电路是指任何时刻电路的稳定输出,仅仅只决定于(该时刻各个输入变量的取值)。 20、用文字、符号或者数码表示特定对象的过程叫做( 编码 )。把代码的特定含义翻译出来的过程叫( 译码 )。 在几个信号同时输入时,只对优先级别最高的进行编码叫做( 优先编码 )。 21、两个1位二进制数相加,叫做(半加器)。两个同位的加数和来自低位的进位三者相加,叫做(全加器)。 22、比较两个多位二进制数大小是否相等的逻辑电路,称为(数值比较器)。 23、半导体数码显示器的内部接法有两种形式:共(阳)极接法和共(阴)极接法。对于共阳接法的发光二极管数码显示器,应采用(低)电平驱动的七段显示译码器。 24、能够将( 1个 )输入数据,根据需要传送到( m 个 )输出端的任意一个输出端的电路,叫做数据分配器。 25、在多路传输过程中,能够根据需要将( 其中任意一路挑选出来 )的电路,叫做数据选择器,也称为多路选择器或多路开关。

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电路期末试卷及答案A

系名____________班级____________姓名____________学号____________ 密封线内不答题 2011 —— 2012 学年第 2 学期 课程名称: 数字电子技术基础 使用班级:11级电子、通信、控制本科 一、 填空、单选题(在括号内填入所选序号)(每小题2分、共20分) 1、5F.8 16 =(___________) 10 =(___________) BCD 8421 2、一个四位二进制递减计数器的初态为1110,经过三个计数脉冲后,该计数器的状态 为_________。 3、要用1K ×4的RAM 构成存储容量为4K ?16位的存储器,需要用 _________片进行扩展。 4、A/D 转换器用以将输入的_________转换成相应_________输出的电路。 5、偏离状态能在计数脉冲作用下自动转入有效状态的特性,称为__________特性。 6、如果F (A,B,C )=∑)7,5,4,2,0(m ,那么F (A,B,C)=M ∏( ) 。 A. 0,2,4,5,7 B . 1,3,6 C . 0,2,3,5,7 D . 1,4,6 7、已知D/A 转换器的最小输出电压为10mv ,最大输出电压为2.5v ,则应选用( )位的D/A 转换器。 A . 7 B . 8 C. 9 D . 10 8、存储容量为256×8的RAM 有( )根地址输入线。 A . 8 B. 256 C . 10 D . 11 9、TTL 电路中三极管作为开关时工作区域是( )。 A. 饱和区+放大区 B. 饱和区+截止区 C. 放大区+击穿区 D. 击穿区+截止区 10、4位输入的二进制译码器,其输出端有( )位。 A. 16 B. 8 C. 4 D. 2 二、逻辑函数简化及变换 (共15分) 1、用公式法将下面的逻辑函数式化简为最简与—或表达式。(6分)

数字电子技术课后习题及答案

第二章 2.2 证明下列异或运算公式 (1)A 0A =⊕ 证明: 左侧0A 0A ?+?= A = 得证 (2)A 1A =⊕ 证明: 左侧1A 1A ?+?= A = 得证 (3) 0A A =⊕ 证明: 左侧A A A A ?+?= 0= 得证 (4)A A A =⊕ 证明: 左侧A A A A ?+?= A = 得证 (5)B A B A ⊕=⊕ 证明: 右侧B A B A ?+?= B A B A ?+?= B A ⊕= 得证 (6) )C B (A C )B A (⊕⊕=⊕⊕ 证明: 等式右侧)C B (A ⊕⊕= )C B C B (A +⊕=

)C B C B (A )C B C B (A +++= C B A C B A )C B C B (A ++?= C B A C B A )C B )(C B (A ++++= C B A C B A )C C C B BC B B (A +++++= C B A C B A C B A A B C +++= C )B A AB (C )B A B A (+++= C )B A (C )B A (⊕+⊕= (将看成一个整体)B A (⊕,用M 来表示 C M C M += C M ⊕= 再替换M ,则) C )B A (⊕⊕= 得证 2.3 用逻辑代数法将下列逻辑函数式化简为最简与或表达式 (1)L=AB(BC+A) 解:L=AB(BC+A) =ABC+AB =AB(C+1) =AB (2) L=B B A B A ++ 解:L=B B A B A ++ =B A B A )1(++ =B B A + =B B A ++ A =A+B (3) C B B C B C A A B C A L ++++= 解:C B B C B C A A B C A L ++++=

数字电路试题及答案后面附带1doc

数字电路模拟题(答案附后) 注:此为上次考试模拟卷和答案,与本次模拟卷题目相同,但顺序不同,以此卷做参考。 一、 1、数制转换(其中B表示二进制,D表示十进制,H表示十六进制) (1)(10110)B=( )D (2)(0.1011)B=( )D (3)(3B)H=( )D (4) (0.35) H=()D (5) (0.34)D=()H=( )B (6) (1011.101) B=( )D (7) (3F) H =( )D (8) (0.8125) D=( )B (9) (173) D=( )H (10) (0101.0110)B=( )D (11) (8FA.C6)=( )B (12) (0.35 )H = ( )D (13) (73)D =( )H 2、利用逻辑代数的基本公式和常用公式化减下列各式 3、指出下列存储系统各具有多少个存储单元,至少需要几根地址线和数据线? 4、设存储器的起始地址为全0,试指出下列存储系统的最高地址为多少? 二、如图所示为由NMOS管构成的逻辑电路。试写出其逻辑表达式并说明它是什么逻辑电路? 三、双互补对与反相器引出端如图所示,试连接成3输入端或非门。

四、试分析如图所示逻辑电路的功能,写出逻辑表达式和真值表。 1、 2、 3、 五、1、试用三个3输入端与门,一个或门和非门实现语句“A>B”,A和B均为两位二进制数。 2、试用三个3输入端与门,一个或门和数个非门实现语句“A>B”,A和B均为两位二进制数。 3、分别写出同步RS、T触发器的特性表和特性方程。 4、用2输入与非门和反相器设计一个三位的奇偶校验器,即当3位数中有奇数个1时输出为1,否则输出为0。 六、电路如图所示,写出驱动方程、状态方程、列出状态表、画出状态图,并确定逻辑功能。 1、

数字电路与系统设计课后习题答案

1、1将下列各式写成按权展开式: (352、6)10=3×102+5×101+2×100+6×10-1 (101、101)2=1×22+1×20+1×2-1+1×2-3 (54、6)8=5×81+54×80+6×8-1 (13A、4F)16=1×162+3×161+10×160+4×16-1+15×16-2 1、2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。 解:略 1、3二进制数00000000~11111111与0000000000~1111111111分别可以代表多少个数?解:分别代表28=256与210=1024个数。 1、4将下列个数分别转换成十进制数:(1111101000)2,(1750)8,(3E8)16 解:(1111101000)2=(1000)10 (1750)8=(1000)10 (3E8)16=(1000)10 1、5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16 解:结果都为:(10001000)2 1、6将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16 解:结果都为(77)8 1、7将下列个数分别转换成十六进制数:(11111111)2,(377)8,(255)10 解:结果都为(FF)16 1、8转换下列各数,要求转换后保持原精度: 解:(1、125)10=(1、0010000000)10——小数点后至少取10位 (0010 1011 0010)2421BCD=(11111100)2 (0110、1010)余3循环BCD码=(1、1110)2 1、9用下列代码表示(123)10,(1011、01)2: 解:(1)8421BCD码: (123)10=(0001 0010 0011)8421BCD (1011、01)2=(11、25)10=(0001 0001、0010 0101)8421BCD (2)余3 BCD码 (123)10=(0100 0101 0110)余3BCD (1011、01)2=(11、25)10=(0100 0100、0101 1000)余3BCD 1、10已知A=(1011010)2,B=(101111)2,C=(1010100)2,D=(110)2 (1)按二进制运算规律求A+B,A-B,C×D,C÷D, (2)将A、B、C、D转换成十进制数后,求A+B,A-B,C×D,C÷D,并将结果与(1)进行比较。解:(1)A+B=(10001001)2=(137)10 A-B=(101011)2=(43)10 C×D=(111111000)2=(504)10 C÷D=(1110)2=(14)10 (2)A+B=(90)10+(47)10=(137)10 A-B=(90)10-(47)10=(43)10 C×D=(84)10×(6)10=(504)10 C÷D=(84)10÷(6)10=(14)10 两种算法结果相同。 1、11试用8421BCD码完成下列十进制数的运算。 解:(1)5+8=(0101)8421BCD+(1000)8421BCD=1101 +0110=(1 0110)8421BCD=13

数字电路习题及参考答案2

数字电路习题及参考答案2 单项选择题 1.下列电路属于组合逻辑电路的是()。 A、全加器 B、寄存器 C、计数器 D、触发器 答案:A 2.若所设计的编码器是将 31 个一般信号转换成二进制代码,则输出应是一组 N=()位的二进制代码。 A、3 B、4 C、5 D、6 答案:C 3.对TTL与非门多余输入端的处理,不能将它们()。 A、与有用端连在一起 B、悬空 C、接高电平 D、接地 答案:D 4.如果要判断两个二进制数的大小或相等,可以使用()电路。 A、译码器 B、编码器 C、数据选择器 D、数据比较器 答案:D 5.主从JK触发器是在()。

A、CP下降沿触发 B、CP上升沿触发 C、CP=1的稳态下触发 D、与CP无关 答案:A 6.当优先编码器的几个输入端()出现有效信号时,其输出端给出优先权较 高的输入信号的代码。 A、同时 B、先后 C、与次序无关 答案:A 7.多位数值比较器比较两数大小顺序是()。 A、自高而低 B、自低而高 C、两种顺序都可以 D、无法判断 答案:A 8.在大多数情况下,对于译码器而言()。 A、其输入端数目少于输出端数目 B、其输入端数目多于输出端数目 C、其输入端数目与输出端数目几乎相同 答案:A 9.将BCD代码翻译成十个对应的输出信号的电路有()个输入端。 A、3 B、4 C、5 D、6 答案:B 10.下列选项不能消除竞争冒险的是()。

A、接入滤波电容 B、引入选通脉冲 C、改变输入信号 D、修改逻辑设计 答案:C 11.同步触发器的同步信号为零时,现态为1,次态为()。 A、无法确定 B、0 C、1 D、以上说法都不对 答案:C 12.对同一逻辑门电路,分别用正逻辑与负逻辑表示,则满足()关系。 A、对偶 B、互非 C、相等 D、无任何关系 答案:A 13.由与非门构成的基本R、S触发器输入端,则约束条件为()。 A、RS=1 B、R+S=0 C、RS=0 D、R+S=1 答案:C 14.下列电路中,不属于组合逻辑电路的是()。 A、译码器 B、计数器 C、编码器 D、数据分配器 答案:B

相关文档
相关文档 最新文档