文档库 最新最全的文档下载
当前位置:文档库 › QuartusII操作过程图解

QuartusII操作过程图解

QuartusII操作过程图解
QuartusII操作过程图解

基于QuartusII9.0的数字电路设计操作过程图解

一.Quartus II 9.0 启动

◆方法一、直接双击桌面上的图标,可以打开Quartus II 9.0软件;

◆方法二、执行:【开始】→【程序】→【Altera】→【Quartus II 9.0】→【Quartus II 9.0

TalkBack Install】菜单命令,可以打开软件。

◆启动软件后,若你的电脑没有连接到Internet互联网,会出现如下图所示的提示,提示你没有连接到A

ltera的官方网站,将无法获得更新的资源。点击〖确定〗继续,因为这不影响软件的正常使用。

◆若你的电脑已经正常连接到Internet互联网,则在打开软件时就不会出现以上的提示,并且可

以通过软件界面右下方的两个图标:,直接连接到Altera公司的官方网站,以便获取更多的信息和资源。

二.QuartusII 9.0软件界面

Quartus II 9.0软件的默认启动界面如下图所示,由标题栏、菜单栏、常用工具栏、资源管理窗口、程序编译或仿真运行状态的显示窗口、程序编译或仿真的结果显示窗口和工程编辑工作区组成。

三.QuartusII 9.0软件使用

1.新建项目工程

使用QuartusII9.0设计一个数字逻辑电路,并用时序波形图对电路的功能进行仿真,同时还可以将设计正确的电路下载到可编程的逻辑器件(CPLD、FPGA)中。因软件在完成整个设计、编译、仿真和下载等这些工作过程中,会有很多相关的文件产生,为了便于管理这些设计文件,我们在设计电路之前,先要建立一个项目工程(New Project),并设置好这个工程能正常工作的相关条件和环境。

建立工程的方法和步骤如下:

(1)先建一个文件夹。就在电脑本地硬盘找个地方

建一个用于保存下一步工作中要产生的工程项目的文件夹,

注意:文件夹的命名及其保存的路径中不能有中文字符。

(2)再开始建立新项目工程,方法如右图点击:【File】

菜单,选择下拉列表中的【New Project Wizard...】命令,

打开建立新项目工程的向导对话框。如下图,出现第①

个对话框,让你选择项目工程保存位置、定义项目工程

名称以及设计文件顶层实体名称。方法如下:

第一栏选择项目工程保存的位置,方法是点击按钮,选择到刚才我们在第一步建立的文件夹。

如右图,第二栏(项目

工程名称)和第三栏(设计

实体名称)软件会默认为与

之前建立的文件夹名称一致。

没有特别需要,我们一般选择

软件的默认,不必特意去修改。

需要注意的是:以上名称的命

名中不能出现中文字符,否则

软件的后续工作会出错。

完成以上命名工作后,点击

Next,进入下一步。

如下图对话框:

这第②步的工作是让你将之前已经设计好的工程文件添加到本项目工程里来,我们之前若没有设计好的文件,就甭理他,跳过这一步,直接点Next,再进入下一步。如下图对话框:

这第③步的工作是让你选择好设计文件下载所需要的可编程芯片的型号,现在我们只做简单的电路设计和仿真,随便指定一个就可以了。以后我们做课程设计或学习《可编程逻辑器件》这门课后,等熟悉了CPLD或FPGA器件以后再根据开发板的器件选择合适的器件型号。点击Next,进入下一步。如下图对话框:

这第④步是让你选择第三方开发工具,我不需要,直接点击Next,进入下一步。出现下图页面:

以上页面显示刚才我们所做的项目工程设置内容的“报告”。点击Finish,完成新建项目工程的任务。(到此我们一个新的项目工程已经建立起来,但真正的电路设计工作还没开始。由于Qu artusII软件的应用都是基于一个项目工程来做的,因此无论设计一个简单电路还是很

复杂的电路都必须先完成以上步骤,建立一个后缀为.qpf的Project File。)

2. 新建设计文件

建立好一个新的项目工程后,接下来可以开始建立设计文件了。QuartusII9.0软件可以用两种方法来建立设计文件,一种是利用软件自带的元器件库,以编辑电路原理图的方式来设计一个数字逻辑电路,另一种方法是应用硬件描述语言(如VHDL或Verilog)以编写源程序的方法来设计一个数字电路。作为初学者,我们先学会用编辑原理图的方法来设计一些简单的数字逻辑电路。

原理图设计方法和步骤如下:

(1)选择用原理图方式来设计电路

如右图,从File菜单中选择【New…】命令,或直接点击常用工具栏的第一个按钮,打开新建设计文件对话框,如下图。选择【Block Diagram/Schematic File】,点击OK,即进入原理图编辑界面。

(2)编辑原理图

QuartusII9.0软件的数字逻辑电路原理图的设计是基于常用的数字集成电路的,要熟练掌握原理图设计,必须要认识和熟悉各种逻辑电路的符号、逻辑名称和集成电路型号。因此努力学好《数字电子技术基础》是后续学习其他专业知识、掌握电路设计的基本条件。

下面我们举例用原理图设计方法设计一个“三输入表决器”电路。

电路的逻辑功能是:三人表决,以少数服从多数为原则,多数人同意则议案通过,否则议案被否决。这里,我们使用三个按键代表三个参与表决的人,置“0”表示该人不同意议案,置“1”表示该人同意议案;两个指示灯用来表示表决结果,LED1 点亮表示议案通过,LED2 点亮表示议案被否决。真值表如下:

S1S2S3LED1LED2

00001

00101

01001

01110

10001

10110

11010

11110

设计方法和步骤如下:

1)双击原理图的任一空白处,会弹出一个元件对话框。在Name栏目中输入and2,我们就得到一个2输入的与门。

2)点击OK按钮,将其放到原理图的适当位置。重复操作,放入另外两个2输入与门。也可以通过右键菜单的Copy命令复制得到。

3)双击原理图的空白处,打开元件对话框。在Name栏目中输入or3,我们将得到一个3输入的或门。点击OK按钮,将其放入原理图。

4)双击原理图的空白处,打开元件对话框。在Name栏目中输入not,我们会得到一个非门。点击OK按钮,将其放入原理图。

5)把所用的元件都放好之后,开始连接电路。将鼠标指到元件的引脚上,鼠标会变成“十”字形状。按下左键,拖动鼠标,就会有导线引出。根据我们要实现的逻辑,连好各元件的引脚。

6)双击原理图的空白处,打开元件对话框。在Name栏目中输入Input, 我们便得到一个输入引脚。点击OK按钮,放入原理图。重复操作,给我们的电路加上3个输入引脚。

7)双击输入引脚,会弹出一个属性对话框。在这一对话框上,我们可更改引脚的名字。我们分别给3个输入引脚取名in1、in2、in3。

8)双击原理图的空白处,打开元件对话框。在Name栏目中输入output,我们会得到一个输出引脚。点击OK按钮,放入原理图。重复操作,给我们的电路加上两个输出引脚。给两个输出引脚分别命名为led1、led2。

(到这里我们要设计的一个“三输入表决器”的电路原理图已经完成,接下来要做的工作是对设计好的原理图进行项目工程编译和电路功能仿真。)

3. 项目工程编译

设计好的电路若要让软件能认识并检查设计的电路是否有错误,需要进行项目工程编译,Quartus II9.0软件能自动对我们设计的电路进行编译和检查设计的正确性。方法如下:

在【Processing】菜单下,点击【Start Compilation】命令,或直接点击常用工具栏上的按钮,开始编译我们的项目。编译成功后,点击确定按钮。

4.功能仿真

仿真是指利用QuartusII软件对我们设计的电路的逻辑功能进行验证,看看在电路的各输入端加上一组电平信号后,其输出端是否有正确的电平信号输出。因此在进行仿真之前,我们需要先建立一个输入信号波形文件。方法和步骤如下:

1)在【File】菜单下,点击【New】命令。在

随后弹出的对话框中,切换到【Other Files】页。

选中【Vector Waveform File】选项,点击

OK按钮。

2)在【Edit】菜单下,点击【InsertNode

orBus…】命令,或在下图Name列表栏下方的空白处双击鼠标左键,打开编辑输入、输出引脚对话框。

3)在上图新打开的对话框中点击【Node Finder…】按钮,打开【Node Finder】对话框。点击【List】按钮,列出电路所有的端子。点击>>按钮,全部加入。点击OK按钮,确认。

点击OK回到InsertNodeor Bus对话框,再点击OK按钮,确认。

4 )选中in1信号,在Edit菜单下,选择【Value=>Clock…】命令。或直接点击左侧工具栏上的按钮。在随后弹出的对话框的Period栏目中设定参数为50ns,点击OK按钮。

相关文档