文档库 最新最全的文档下载
当前位置:文档库 › 设计时序逻辑时采用阻塞赋值与非阻塞赋值

设计时序逻辑时采用阻塞赋值与非阻塞赋值

设计时序逻辑时采用阻塞赋值与非阻塞赋值
设计时序逻辑时采用阻塞赋值与非阻塞赋值

时序逻辑设计

‐‐‐‐‐‐‐‐‐阻塞赋值与非阻塞赋值区别

阻塞式

`timescale 1ps/1ps

module blocking(b,c,a,clk);

output [3:0] b,c;

input [3:0] a;

input clk;

reg [3:0] b,c;

always @(posedge clk)

begin

b = a;

c = b;

$display("Blocking: a = %d, b = %d, c = %d.",a,b,c);

end

endmodule

非阻塞式

`timescale 1ps/1ps

module non_blocking(clk,a,b,c);

output [3:0] b,c;

input [3:0] a;

input clk;

reg [3:0] b,c;

always @(posedge clk)

begin

b <= a;

c <= b;

$display("Non_Blocking: a = %d, b = %d, c = %d.",a,b,c); end

endmodule

Testbench

`timescale 1ps/1ps

module test_tp();

wire [3:0] b1,c1,b2,c2;

reg [3:0] a;

reg clk;

initial

begin

clk = 0;

forever #50 clk = ~clk;

end

initial

begin

a = 4'h3;

$display("____________________________");

# 100 a = 4'h7;

$display("____________________________");

# 100 a = 4'hf;

$display("____________________________");

# 100 a = 4'ha;

$display("____________________________");

# 100 a = 4'h2;

$display("____________________________");

# 100 $display("____________________________");

$stop;

end

non_blocking non_blocking1(.clk(clk),.a(a),.b(b2),.c(c2)); blocking blocking1(.clk(clk),.a(a),.b(b1),.c(c1)); endmodule

Transcript

# ____________________________

# Blocking: a = 3, b = 3, c = 3.

# Non_Blocking: a = 3, b = x, c = x.

# ____________________________

# Non_Blocking: a = 7, b = 3, c = x.

# Blocking: a = 7, b = 7, c = 7.

run

# ____________________________

# Non_Blocking: a = 15, b = 7, c = 3.

# Blocking: a = 15, b = 15, c = 15.

run

# ____________________________

# Non_Blocking: a = 10, b = 15, c = 7.

# Blocking: a = 10, b = 10, c = 10.

run

# ____________________________

# Non_Blocking: a = 2, b = 10, c = 15.

# Blocking: a = 2, b = 2, c = 2.

run

# ____________________________

Author: Apple Cai

Data: 31 Aug 2010

时序逻辑电路设计

引言 人类社会进步,各种仪器测试设备的以电子设备代替成为趋势,各类测试仪器都希望通过电子设备来实现。电子设备在实现相应参数的测量时,具有简单容易操作,而且数据便于计算机处理等优点。目前科技的飞速进展与集成电路的发展应用,有密不可分的关系。十九世纪工业革命主要以机器节省人力,二十世纪的工业的革命则主要以电脑为人脑分劳。而电脑的发展归于集成电路工业。 集成电路是将各种电路器件集成于半导体表面而形成的电路。近年来集成电路几乎成为所有电子产品的心脏。由于集成电路微小化的趋向,使电子产品得以“轻、薄、短、小”。故集成电路工业又称微电子工业。差不多在同时数字计算机的发展提供了应用晶体管的庞大潜在市场。 20世纪90年代以后,电子科学和技术取得了飞速的发展,其标志就是电子计算机的普及和大规模集成电路的广泛应用。在这种情况下,传统的关于数字电路的内容也随之起了很大的变化,在数字电路领域EDA工具已经相当成熟,无论是电路内容结构设计还是电路系统设计,以前的手工设计都被计算机辅助设计或自动设计所取代。 通过长期的学习微电子专业理论知识,我们应该多动手实践把理论知识与实践相结合,加强对理论知识的把握。本文是十进制同步计数器的设计,对十进制同步计数器的设计进行电路原理图设计以及仿真,版图设计,版图验证。 1 设计技术要求 (1)项目名称:十进制同步计数器的设计 (2)使用工艺:2.0um硅栅工艺(tanner)或者1.0um硅栅工艺(cadence) (3)供电电源:5V (4)输入要求:异步清除,CMOS电平 (5)进行原理图设计,并完成电路的仿真 (6)版图设计,完成LVS一致性检验,生成相应的GDSII文档 2 设计构思及理论 2.1 设计思路 十进制同步计数器的设计可以细化成下列步骤: ①建立最简原始状态图。 ②确定触发器级数,进行状态编码。 ③用状态装换卡诺图化简,求状态方程和输出方程。 ④查自启动特性。 ⑤确定触发类型,求驱动方程。 ⑥画逻辑图。

同步时序电路的设计步骤

同步时序电路的设计步骤 同步时序电路的设计步骤 同步时序电路的分析是根据给定的时序逻辑电路,求出能反映该电路功能的状态图。状态图清楚地表明了电路在不同的输入、输出原状态时,在时钟作用下次态状态的变化情况。同步时序电路的设计的设计是分析的反过程,其是根据给定的状态图或通过对设计要求的分析得到的状态图,设计出同步时序电路的过程。 这里主要讨论给定状态图的情况下的同步时序电路的设计,对于具体的要求得到状态图的过程一般是一个较复杂的问题,这是暂不讲。根据已知状态图设计同步时序电路的过程一般分为以下几步: 1.确定触发器的个数。首先根据状态的个数来确定所需要触发器的个数,如给定的状态个数为n,由应满足 n≤2K,K为实现这来状态所需要的触发器的个数。(实际使用时可能给定的状态中存在冗余项,这时一般还须对状态进行化简。) 2.列出状态转移真值表。根据状态列出状态转移真值表,也称状态表、状态转移表。 3.触发器选型。选择合适的触发器,通常可选的触发器有:JK-FF,D-FF,T-FF,一般使用较广的为JK-FF。根据状态图和给出的触发器的型号写出其输入方程,通常在写输入方程时须对其进行化简,以使电路更简单。 4.求出输出方程。根据状态表,求出输出逻辑函数Z的输出方程,还过有些电路没有独立的输出,这一步就省了。 5.画出逻辑图。根据输入方程、输出方程画出逻辑电路图。 6.讨论设计的电路能否自启动。在设计的电路中可能出现一些无关的状态,这些状态能否经过若干个时钟脉冲后进行有效的状态。 同步时序电路设计举例 例按下图状态图设计同步时序电路。 1.根据状态数确定触发器的数目:由状态图可以看出,其每个状态由两个状态,故可用两个触发器。其变量可 用Q 1,Q 表示; 2.根据状态图列出状态表:状态表的自变量为输入变量x和触发器当前状态Q 1 n,Q n,而应变量为触发器的次态 Q 1n+1Q n+1、及输出z,列表时将自变量的所有组合全部列出来,其中当Q 1 n Q n=01的状态为不出现,其输出可看作任意 项处理。

同步时序逻辑电路的习题 数字逻辑

第五章 同步时序逻辑电路的习题 一、基本知识点 1、时序逻辑电路的一般结构 特点:a 、有存储电路(记忆元件);有组合电路(特殊时可没有) b 、包含反馈电路,电路功能与“时序”相关 c 、输出不仅与输入(X )有关,而且与存储状态(Y )有关 分类:(1)Mealy 型 Z =F (X ,Q ) 输出是电路的输入和现态的函数(注意输出与输入有直接关系) (2)Moore 型 Z =F (Q ) 输出仅仅是电路现态的函数(注意输出与输入没有直接关系) 同步时序逻辑电路:各触发器共用同一时钟信号,即电路中各触发器状态的转换时刻在统一时钟信号控制下同步发生。 异步时序逻辑电路:电路没有统一的时钟信号对状态变化进行同步控制,输入信号的变化将直接引起电路状态的变化。 //本课程将较少讨论异步时序逻辑电路 2、同步时序逻辑电路的描述 注意:任一个同步时序逻辑电路的结构和功能可用3组函数表达式完整地描述。 (1)激励函数表达式:存储电路输入Y 与电路输入X 和现态Q 之间的关系 Y =F (X ,Q ) //现态Q 就是上图存储电路原始的输出y k (2)次态函数表达式:电路的次态Q n+1与激励函数Y 和现态Q 之间关系 Q n+1=F (Y ,Q ) //次态Q n+1就是上图存储电路再次触发后的输出y k n+1 (3)输出函数表达式:电路的输出Z 和输入X 和当前现态Q 的关系 Mealy 型 Z =F (X ,Q ) Moore 型 Z =F (Q ) 输入信号 输出信号 X 1 X 2 X n Z 1 Z 2 Z m y s 过去输入 现态 现在输入 } 输出 输出 所有输入 现态

时序逻辑电路的组成及分析方法案例说明

时序逻辑电路的组成及分析方法案例说明 一、时序逻辑电路的组成 时序逻辑电路由组合逻辑电路和存储电路两部分组成,结构框图如图5-1所示。图中外部输入信号用X (x 1,x 2,… ,x n )表示;电路的输出信号用Y (y 1,y 2,… ,y m )表示;存储电路的输入信号用Z (z 1,z 2,… ,z k )表示;存储电路的输出信号和组合逻辑电路的内部输入信号用Q (q 1,q 2,… ,q j )表示。 x x y 1 y m 图8.38 时序逻辑电路的结构框图 可见,为了实现时序逻辑电路的逻辑功能,电路中必须包含存储电路,而且存储电路的输出还必须反馈到输入端,与外部输入信号一起决定电路的输出状态。存储电路通常由触发器组成。 2、时序逻辑电路逻辑功能的描述方法 用于描述触发器逻辑功能的各种方法,一般也适用于描述时序逻辑电路的逻辑功能,主要有以下几种。 (1)逻辑表达式 图8.3中的几种信号之间的逻辑关系可用下列逻辑表达式来描述: Y =F (X ,Q n ) Z =G (X ,Q n ) Q n +1=H (Z ,Q n ) 它们依次为输出方程、状态方程和存储电路的驱动方程。由逻辑表达式可见电路的输出Y 不仅与当时的输入X 有关,而且与存储电路的状态Q n 有关。 (2)状态转换真值表 状态转换真值表反映了时序逻辑电路的输出Y 、次态Q n +1与其输入X 、现态Q n 的对应关系,又称状态转换表。状态转换表可由逻辑表达式获得。 (3)状态转换图

状态转换图又称状态图,是状态转换表的图形表示,它反映了时序逻辑电路状态的转换与输入、输出取值的规律。 (4)波形图 波形图又称为时序图,是电路在时钟脉冲序列CP的作用下,电路的状态、输出随时间变化的波形。应用波形图,便于通过实验的方法检查时序逻辑电路的逻辑功能。 二、时序逻辑电路的分析方法 1.时序逻辑电路的分类 时序逻辑电路按存储电路中的触发器是否同时动作分为同步时序逻辑电路和异步时序逻辑电路两种。在同步时序逻辑电路中,所有的触发器都由同一个时钟脉冲CP控制,状态变化同时进行。而在异步时序逻辑电路中,各触发器没有统一的时钟脉冲信号,状态变化不是同时发生的,而是有先有后。 2.时序逻辑电路的分析步骤 分析时序逻辑电路就是找出给定时序逻辑电路的逻辑功能和工作特点。分析同步时序逻辑电路时可不考虑时钟,分析步骤如下: (1)根据给定电路写出其时钟方程、驱动方程、输出方程; (2)将各驱动方程代入相应触发器的特性方程,得出与电路相一致的状态方程。 (3)进行状态计算。把电路的输入和现态各种可能取值组合代入状态方程和输出方程进行计算,得到相应的次态和输出。 (4)列状态转换表。画状态图或时序图。 (5)用文字描述电路的逻辑功能。 3.案例分析 分析图8.39所示时序逻辑电路的逻辑功能。 图8.39 逻辑电路 解:该时序电路的存储电路由一个主从JK触发器和一个T触发器构成,受统一的时钟CP控制,为同步时序逻辑电路。T触发器T端悬空相当于置1。 (1)列逻辑表达式。 输出方程及触发器的驱动方程分别为

Moore型同步时序逻辑电路的设计与分析

实验九Moore型同步时序逻辑电路的分析与设计 22920132203686 薛清文周2下午实验 一.实验目的: 1.同步时序逻辑电路的分析与设计方法 2.D,JK触发器的特性机器检测方法。 2.掌握时序逻辑电路的测试方法。 3.了解时序电路自启动设计方法。 4.了解同步时序电路状态编码对电路优化作用。 二.实验原理: 二、 1.Moore同步时序逻辑电路的分析方法: 时序逻辑电路的分析,按照电路图(逻辑图),选择芯片,根据芯片管脚,在逻辑图上标明管脚号;搭接电路后,根据电路要求输入时钟信号(单脉冲信号或连续脉冲信号),求出电路的状态转换图或时序图(工作波形),从中分析出电路的功能。 2.Moore同步时序逻辑电路的设计方法: (1)分析题意,求出状态转换图。 (2)状态分析化简:确定等价状态,电路中的等价状态可合并为一个状态。(3)重新确定电路状态数N,求出触发器数n,触发器数按下列公式求:2n-1

时序逻辑电路的设计方法

5.2 时序逻辑电路的设计方法 本次重点内容: 1、同步时序逻辑电路的设计方法。 2、异步时序逻辑电路的设计方法。 教学过程 5.2.1 同步时序逻辑电路的设计 一、同步时序逻辑电路的设计方法 设计关键:根据设计要求→确定状态转换的规律→求出各触发器的驱动方程。 设计步骤:(先简单介绍,通过以下的举例后,再进行总结,特别再点出设计关键)1.根据设计要求,设定状态,确定触发器数目和类型。画出状态转换图。 2.状态化简 前提:保证满足逻辑功能要求。 方法:将等价状态(多余的重复状态)合并为一个状态。 3.状态分配,列出状态转换编码表 通常采用自然二进制数进行编码。N为电路的状态数。 每个触发器表示一位二进制数,因此,触发器的数目n可按下式确定 2n≥N>2n–1 4.画状态转换卡诺图,求出状态方程、输出方程 选择触发器的类型(一般可选JKF/F或DF/F,由于JK触发器使用比较灵活,因此,在设计中多选用JK触发器。)将状态方程和触发器的特性方程进行比较→驱动方程。 5.根据驱动方程和输出方程画逻辑图。 6.检查电路有无自启动能力。 如设计的电路存在无效状态时,应检查电路进入无效状态后,能否在时钟脉冲作用下自动返回有效状态工作。如能回到有效状态,则电路有自启动能力;如不能,则需修改设计,使电路具有自启动能力。 二、同步时序逻辑电路的设计举例 [例1] 试设计一个同步七进制加法计数器。

解:设计步骤 (1)根据设计要求,设定状态,画状态转换图。 七进制→7个状态→用S0,S1,…,S6表示 状态转换图如下所示: (2)状态化简。 本例中7个状态都是有效状态。 (3)状态分配,列状态转换编码表。 根据式2n≥N>2n–1,→ N=7,n=3,即采用三个触发器。 选用三位自然二进制加法计数编码→列出状态转换编码表。 (4)选择触发器的类型,求出状态方程,驱动方程和输出方程。根据状态转换编码表→得到各触发器次态和输出函数的卡诺图。得 输出方程为: Y= Q2n Q1n

时序逻辑问题设计

二.时序逻辑问题设计 (一)时序逻辑问题的特点 这类问题的特点为:只知道输出程序,整个系统按输出程序顺序进行,具有时序的要求,也称“顺序控制”。系统输出不仅与输入信号的组合有关,而且受一定顺序的限制,系统输入信号不是随机的,而是有序的。不仅输入的程序没有给出,输入的个数也没有确定,整个设计的关键是由输出程序求出逻辑函数。 常见的行程程序控制属于时序逻辑问题,其控制框图如图2—6所示。 框图中外部指令信号是指启动信号或其他装置来的信号。逻辑控制回路由各种控制阀、逻辑元件组成,是行程程序回路设计的主要部分。控制回路的输出经转换器转换或放大器放大后,推动执行元件(气缸、气马达等),实现对被控对象的控制,再由行程发信器发出信号,输入逻辑控制回路,并经逻辑控制回路进行运算,输出下一个控制信号,直至完成预定的控制要求。实际上这是一种闭环控制系统。 图2—6 行程程序控制方框图 (二)气动行程程序设计概述 为了准确描述气动程序动作、信号及它们间的关系,必须用规定的符号、数字来表示。 1.符号规定 图2—7 气缸、阀、信号的符号 1)用大写的字母A、B、C等表示气缸,用下标“1”和“0”表示气缸活塞杆的两种 状态。例如A 0表示A缸缩回,A 1 则表示A缸伸出。参见图2—7。 2)A气缸的主控阀也用A表示。 3)主控阀两侧的气控信号称为执行信号。用A0*、A1*表示,A0*表示控制A缸缩回的执行信号,A 1 *表示控制A缸伸出的执行信号。 4)行程阀及其输出信号称为原始信号,如行程阀a0及其输出信号a0。A缸不伸出,a0信号一直保持,为长信号。 2.行程程序的相位与状态 用程序式来表示行程程序气缸的动作顺序。例如,气缸的动作顺序为:A缸伸出—B 缸伸出—B缸退回—A缸退回,则用程序式表示为 其中q为启动信号,a 1、b b a 100 、、分别为气缸到位后由行程阀发出的原始信号。程序式 还可以简写为[A B B A 1100 ]。 程序式[A B B A 1100 ]中四个动作将整个程序分为四段,每一段为一个相位。A1动作占 程序的相位1,B 1动作占程序的相位2,B 动作占程序的相位3,A 动作占程序的相位4。

第5章--时序逻辑电路习题解答

5-1 分析图5.77所示时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图和时序图。 CLK Z 图5.77 题 5-1图 解:从给定的电路图写出驱动方程为: 0012 10 21()n n n n n D Q Q Q D Q D Q ?=??=?? =?? e 将驱动方程代入D 触发器的特征方程D Q n =+1 ,得到状态方程为: 10012110 12 1()n n n n n n n n Q Q Q Q Q Q Q Q +++?=??=??=??e 由电路图可知,输出方程为 2 n Z Q = 根据状态方程和输出方程,画出的状态转换图如图题解5-1(a )所示,时序图如图题解5-1(b )所示。 题解5-1(a )状态转换图

1 Q 2/Q Z Q 题解5-1(b )时序图 综上分析可知,该电路是一个四进制计数器。 5-2 分析图5.78所示电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。A 为输入变量。 Y A 图5.78 题 5-2图 解:首先从电路图写出驱动方程为: () 0110101()n n n n n D AQ D A Q Q A Q Q ?=? ?==+?? 将上式代入触发器的特征方程后得到状态方程 () 1011 10101()n n n n n n n Q AQ Q A Q Q A Q Q ++?=? ?==+?? 电路的输出方程为: 01n n Y AQ Q = 根据状态方程和输出方程,画出的状态转换图如图题解5-2所示

Y A 题解5-2 状态转换图 综上分析可知该电路的逻辑功能为: 当输入为0时,无论电路初态为何,次态均为状态“00”,即均复位; 当输入为1时,无论电路初态为何,在若干CLK 的作用下,电路最终回到状态“10”。 5-3 已知同步时序电路如图5.79(a)所示,其输入波形如图5.79 (b)所示。试写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图和时序图,并说明该电路的功能。 X (a) 电路图 1234CLK 5678 X (b)输入波形 图5.79 题 5-3图 解:电路的驱动方程、状态方程和输出方程分别为: 0010110001101101 1, ,n n n n n n n n n n J X K X J XQ K X Q X Q XQ X Q XQ Q XQ XQ XQ Y XQ ++?==??==???=+=?? ?=+=+?= 根据状态方程和输出方程,可分别做出11 10,n n Q Q ++和Y 的卡诺图,如表5-1所示。由此 做出的状态转换图如图题解5-3(a)所示,画出的时序图如图题解5-3(b )所示。

实验十 Moore型同步时序逻辑电路的分析与设计

实验十Moore型同步时序逻辑电路的分析与设计 一.实验目的: 1.同步时序逻辑电路的分析与设计方法 2.掌握时序逻辑电路的测试方法。 二.实验原理: 1.Moore同步时序逻辑电路的分析方法: 时序逻辑电路的分析,按照电路图(逻辑图),选择芯片,根据芯片管脚,在逻辑图上标明管脚号;搭接电路后,根据电路要求输入时钟信号(单脉冲信号或连续脉冲信号),求出电路的状态转换图或时序图(工作波形),从中分析出电路的功能。 2.Moore同步时序逻辑电路的设计方法: (1)分析题意,求出状态转换图。 (2)状态分析化简:确定等价状态,电路中的等价状态可合并为一个状态。(3)重新确定电路状态数N,求出触发器数n,触发器数按下列公式求:2n-1

(7)利用卡诺图如图2,求状态方程、驱动方程。 (8)自启动检验:将各无效状态代入状态方程,分析状态转换情况,画出完整的 状态转换图,如图3所示,检查是否能自启动。

实验二 时序逻辑电路的设计[1]

实验二 时序逻辑电路的设计 一、实验目的: 1、 掌握时序逻辑电路的分析方法。 2、 掌握VHDL 设计常用时序逻辑电路的方法。 3、 掌握时序逻辑电路的测试方法。 4、 掌握层次电路设计方法。 5、 理解时序逻辑电路的特点。 二、实验的硬件要求: 1、 EDA/SOPC 实验箱。 2、 计算机。 三、实验原理 1、时序逻辑电路的定义 数字逻辑电路可分为两类:组合逻辑电路和时序逻辑电路。组合逻辑电路中不包含记忆单元(触发器、锁存器等),主要由逻辑门电路构成,电路在任何时刻的输出只和当前时刻的输入有关,而与以前的输入无关。时序电路则是指包含了记忆单元的逻辑电路,其输出不仅跟当前电路的输入有关,还和输入信号作用前电路的状态有关。 2、同步时序逻辑电路的设计方法 同步时序逻辑电路的设计是分析的逆过程,其任务是根据实际逻辑问题的要求,设计出能实现给定逻辑功能的电路。同步时序电路的设计过程: (1)根据给定的逻辑功能建立原始状态图和原始状态表。 ①明确电路的输入条件和相应的输出要求,分别确定输入变量和输出变量的数目和符号; ②找出所有可能的状态和状态转换之间的关系; ③根据原始状态图建立原始状态表; (2)状态化简---求出最简状态图。 合并等价状态,消去多余状态的过程称为状态化简。 等价状态:在相同的输入下有相同的输出,并转换到同一个次态去的两个状态称为等价状态。 (3)状态编码(状态分配)。 给每个状态赋以二进制代码的过程。 根据状态数确定触发器的个数,n n M 221-≤∠(M 为状态数;n 为触发器的个数)。 (4)选择触发器的类型。 (5)求出电路的激励方程和输出方程。 (6)画出逻辑图并检查自启动能力。 3、时序逻辑电路的特点及设计时的注意事项 ①时序逻辑电路与组合逻辑电路相比,输出会延时一个时钟周期。 ②时序逻辑电路一般容易消除“毛刺”。 ③用VHDL 描述时序逻辑电路时,一般只需将时钟信号和异步控制(如异步复位)信号作为敏感信号。

同步时序逻辑电路分析与设计

“电工学(二)数字逻辑电路”课程实验报告 实验/实训项目同步时序逻辑电路分析与设计 实验/实训地点 实验/实训小组 实验/实训时间 专业电器工程及其自动化 班级 姓名 学号 指导老师

过程、步骤、代一、实验原理 1. 集成计数器74LS290功能测试。 74LS290是二一五一十进制异步计数器,逻辑简图为图5.1所示。 74LS290具有下述功能: 直接置0(R 0(1),R 0(2)=1),直接置(S 0(1),S 0(2)=1) 二进制计数(CP 1输入Q A 输出) 五进制计数(CP 1输入Q A Q B Q C 输出) 十进制计数(两种接法如图5.2A 、B 所示) 按芯片引脚图分别测试上述功能,并填入表5.1、表5.2、表5.3中。 图5.1 74LS290逻辑图

图5.2 十进制计数器 2. 计数器级连 分别用2片74LS290计数器级连成二一五混合进制、十进制计数器。 (1)画出连线电路图。 (2)按图接线,并将输出端接到LED 数码显示器的相应输入端,用单脉冲作为输入脉冲验证设计是否正确。 (3)画出四位十进制计数器连接图并总结多级计数级连规律。 3. 任意进制计数器设计方法 采用脉冲反馈法(称复位法或置位法),可用74LS290组成任意(M )计数器,图5.3是用74LS290实现模7计数器的两种方案,图(A )采用复位法,即计到M 异步置0,图(B )采用置位法,即计数计到M-1异步置0。 表5.1 功能表 R 0(1) R 0(2) S 0(1) S 0(2) 输出 Q D Q G Q B Q A H H L X H H X L X X H H X L X L L X X L X L L X 表5.2 二一五混合时制 计数 输出 Q A Q D Q G Q B 0 1 2 3 4 5 6 7 8 9

时序逻辑电路分析举例

时序逻辑电路分析例题 1、 分析下图时序逻辑电路。 解: 1、列出驱动方程:111==K J 1//122Q A AQ K J +== 2、列出状态方程: 将驱动方程代入JK 触发器的特性方程Q K JQ Q //*+=得: /1*1Q Q = 212/1//21//2/1*2Q AQ Q Q A Q Q A Q AQ Q +++= 3、列出输出方程: 21//2/1Q Q A Q AQ Y += 4、列出状态转换表: (1)当A=1时: 根据:/1*1Q Q =;21/2/1*2Q Q Q Q Q +=;/ 2/1Q Q Y =得:

(2)当A=0时: 根据:/1*1Q Q =;2/1/21*2 Q Q Q Q Q +=;21Q Q Y =得: 5、画状态转换图: 6、说明电路实现的逻辑功能: 此电路是一个可逆4进制(二位二进制)计数器,CLK 是计数脉冲输入端,A 是加减控制端,Y 是进位和借位输出端。当控制输入端A 为低电平0时,对输入的脉冲进行加法计数,计满4个脉冲,Y 输出端输出一个高电平进位信号。当控制输入端A 为高电平1时,对输入的脉冲进行减法计数,计满4个脉冲,Y 输出端输出一个高电平借位信号。 2、如图所示时序逻辑电路,试写出驱动方程、状态方程,画出状态图,说明该电路的功能。

()()n n n n n n n n n n n n n n Q XQ Q Q X Q Q X Q Q Q X Q Q X Q Q X Q 0 1 1 1 1 010110 11+=⊕=+=⊕=++ 输出方程 ()01Q Q X Z ⊕= 1、 状态转换表,如表所示。状态转换图,略。 CP X Z

实验十 Moore型同步时序逻辑电路的分析与设计

实验十 Moore型同步时序逻辑电路的分析与设计 一、实验目的 1.掌握同步时序逻辑电路的分析、设计方法; 2.掌握时序逻辑电路的测试方法。 二、实验原理 1.Moore型同步时序逻辑电路的分析方法: 时序逻辑电路的分析,按照电路图,选择芯片,根据芯片管脚,在逻辑图上标明管脚号;大街电路后,根据电路要求输入时钟信号,要求出电路的状态转换图或时序图,从中分析出电路的功能。 2.Moore型同步时序逻辑电路的设计方法: (1)分析题意,求出状态转换图。 (2)状态化简:确定等价状态,电路中的等价状态可合并为一个状态。 (3)重新确定电路状态数N,求出触发器数你n,触发器数按下列公式求:2n-1

(8)功能仿真、时序仿真。 3.同步时序逻辑电路的设计举例: 试用D触发器设421码模5加法计数器。 (1)分析题意:由于是模5 (421码)加法计数器,其状态转换图如图1所示: (2)状态转换化简:由题意得该电路无等价状态。 (3)确定触发器数:根据,2n-1

(8)自启动检验:将各无效状态代入状态方程,分析状态转换情况,画出完整的状态转换图,如图3所示,检查是否能自启动。 (9)画出逻辑图,如图4 所示。 三、实验仪器 1.示波器1台 2.函数信号发生器1台 3.数字万用表1台 4.多功能电路实验箱1台

时序逻辑电路设计题

第1题: 设计一个串行数据检测器,对它的要求是:连续输入3个或3个以上的1时输出为1,其他输入情况下输出为0。 答案 输入数据作为输入变量,用X 表示;检测结果为输出变量,用Y 表示。 设电路没有输入1以前的状态为0S ,输入一个1状态为1S ,连续输入两个1后的状态为2S ,连续输入3个1以后的状态为3S 。状态转换图为: 求得触发器的输入方程为:X K XQ J ==101; 1;010==K Q X J 输出方程:1XQ Y = 画出逻辑图 第2题: 试用JK 触发器和门电路设计一个同步七进制计数器。 答案 因为七进制计数器需要有7个不同的状态,所以需要用三个触发器组成。根据题目要求画出状态转换图: 卡诺图为:

从卡诺图得到的状态方程为: 驱动方程为: 设计得到的逻辑电路图为: 第3题:设计一“011”序列检测器,每当输入011码时,对应最后一个1,电路输出为1。答案 画出原始状态图(或称转移图) 输入端X:输入一串行随机信号 输出端Z:当X出现011序列时,Z=1;否则Z=0

选用T 触发器 表达式为: T 触发器的驱动方程为: 第4题: 用JK 触发器设计时序逻辑电路,状态表如下所示: n n Q Q 01 Y Q Q n n /1 11++ A=0 A=1 00 01/0 11/0 01 10/0 00/0 10 11/0 01/0 11 00/1 10/1 答案 所要设计的电路由4个状态,需要用两个JK 触发器实现,求得JK 触发器的激励方程为:100==K J 011Q A K J ⊕== 输出方程:01Q Q Y = 由输出方程和激励方程画电路 A B C D 1/0 0/0 0/0 1/1 0/0 0/0 1/0 1/0 011XQ Q T +=000XQ Q X T +=0 1Q XQ Z =011XQ Q T +=0 00XQ Q X T +=0 1Q XQ Z =

第七章 时序逻辑设计原理 作业题

第七章时序逻辑设计原理 7.1Sketch the outputs of an S-R latch of the type with NOR gates for the input waveforms shown in Figure X7.1. Assume that input and output rise and fall times are zero, that the propagation delay of a NOR gate is 10 ns, and that each time division below is 10 ns. Figure X7.1 The input waveforms of exercise 6.2 7.2Repeat exercise 7.1 using the input waveforms shown in Figure X7.2. Although you may find the result unbelievable, this behavior can actually occur in real devices whose transition times are short compared to their propagation delay. Figure X7.2 The input waveforms of exercise 7.2

7.3Show how to build a J-Dflip-flop using a T flip-flop with enable and combinational logic. 7.4Show how to build a J-K flip-flop using a T flip-flop with enable and combinational logic. 7.5Analyze the clocked synchronous state machine in Figure X7.5. Write excitation equations, excitation/transition table, and state/output table (use state names A~D for Q1Q2 = 00~11). Figure X7.5 Exercise 7.5 logic circuit diagram

时序逻辑电路设计

时序逻辑电路的设计 一、实验目的 1. 熟悉集成计数器的逻辑功能和各控制端的作用。 2. 掌握计数器的使用方法。 3. 掌握任意进制计数器的设计方法。 4. 了解8421BCD和5421BCD的编码规则。 二、实验器材 集成计数器74LS90、四2输入与非门74LS00、双4输入与非门74LS20、四异或门74LS86、六非门74LS04、显示译码器7447/7448、七段数码管 三、实验任务及要求 1. 设计要求 (1)用1片74LS90和1片与非门设计一个5进制计数器。 (2)用2片74LS90和1片与非门设计一个四十以内(十以上)的任意进制计数器。 2.实验内容 (1)测试所用芯片74LS90的逻辑功能(置0、置9、8421BCD计数输出功能)。(2)组装所设计的时序逻辑电路,并验证其功能是否正确。 提示:计数器的状态输出端分别接在实验箱上的显示译码器的输入端,用七段数码管显示计数状态值。CP接实验箱上的可调连续脉冲。 四、实验原理 1. 74LS90的逻辑功能

74LS90是二-五-十进制异步计数器。 (1)R9(1)=R9(2)=“1”,Q3Q2Q1Q0=1001,置9; (2)R0(1)=R0(2)=“1”,R9(1)‖R9(2)=“0”,Q3Q2Q1Q0=0000,置0; (3)计数脉冲由CP0端输入,输出由Q0端引出,即得二进制计数器; (4)计数脉冲由CP1端输入,输出由Q3,Q2,Q1端引出,即得五进制计数器;(5)将Q0和CP1相连,计数脉冲由CP0端输入,输出由Q3,Q2,Q1,Q0端引出,即得8421BCD码十进制计数器; 2. 时序逻辑电路的基本设计方法 Step 1:明确设计电路功能,作出基于功能涉及到的所有编码排序的状态转换图;Step 2:判断电路是否有输入或输出变量,并根据状态转换图画出状态转换表; Step 3:根据状态转换表,分离出各触发器输出量Q 0~Q m (m=1、2、3…)、输出 变量Y的卡诺图并化简,得到各个触发器的状态方程;

时序逻辑电路设计

时序逻辑电路的设计 、实验目的 1. 熟悉集成计数器的逻辑功能和各控制端的作用。 2. 掌握计数器的使用方法。 3. 掌握任意进制计数器的设计方法。 4. 了解8421BCD和5421BCD的编码规则。 二、实验器材 集成计数器74LS90、四2输入与非门74LS00、双4输入与非门74LS20、四异或门74LS86、六非门74LS04、显示译码器7447/7448、七段数码管 三、实验任务及要求 1. 设计要求 (1)用1 片74LS90和1 片与非门设计一个5 进制计数器。 (2)用2片74LS90和1片与非门设计一个四十以内(十以上)的任意进制计数器。 2. 实验内容 (1)测试所用芯片74LS90的逻辑功能(置0、置9、8421BCD计数输出功能)。(2)组装所设计的时序逻辑电路,并验证其功能是否正确。 提示:计数器的状态输出端分别接在实验箱上的显示译码器的输入端,用七段数码管显示计数状态值。CP接实验箱上的可调连续脉冲。 四、实验原理 1. 74LS90的逻辑功能

74LS90是二-五-十进制异步计数器。 (1)R9(1)=R9(2)=“ 1”,Q3Q2Q1Q0=1001,置9; (2)R0(1)=R0(2)=“ 1”,R9(1)‖R9(2)=“0”,Q3Q2Q1Q0=0000置, 0; (3)计数脉冲由CP0端输入,输出由Q0 端引出,即得二进制计数器; (4)计数脉冲由CP1 端输入,输出由Q3,Q2,Q1端引出,即得五进制计数器; (5)将Q0和CP1相连,计数脉冲由CP0端输入,输出由Q3,Q2,Q1,Q0端引出,即得8421BCD码十进制计数器; 2. 时序逻辑电路的基本设计方法 Step 1:明确设计电路功能,作出基于功能涉及到的所有编码排序的状态转换图;Step 2 :判断电路是否有输入或输出变量,并根据状态转换图画出状态转换表;Step 3 :根据状态转换表,分离出各触发器输出量Q0~Q m(m=1、2、3, )、输出变量Y 的卡诺图并化简,得到各个触发器的状态方程;

实验三 时序逻辑电路

实验三时序逻辑电路 学习目标: 1、掌握时序逻辑电路的一般设计过程 2、掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求 3、掌握时序逻辑电路的基本调试方法 4、熟练使用示波器和逻辑分析仪观察波形图 实验内容: 1、广告流水灯(第9 周课内验收)用触发器、组合函数器件和门电路设计一个广告流水灯,该流水灯由8 个LED 组成,工作时始终为1 暗7 亮,且这一个暗灯循环右移。 (1) 写出设计过程,画出设计的逻辑电路图,按图搭接电路 (2) 将单脉冲加到系统时钟端,静态验证实验电路 (3) 将TTL 连续脉冲信号加到系统时钟端,用示波器观察并记录时钟脉冲CP、触发器的输出端Q2、Q1、Q0 和8 个LED 上的波形。 2、序列发生器(第10 周课内实物验收计数器方案)分别用MSI 计数器和移位寄存器设计一个具有自启动功能的01011 序列信号发生器 (1) 写出设计过程,画出电路逻辑图 (2) 搭接电路,并用单脉冲静态验证实验结果 (3) 加入TTL 连续脉冲,用示波器观察观察并记录时钟脉冲CLK、序列输出端的波形。 3、4 位并行输入-串行输出曼切斯特编码电路(第10周课内验收,基础要求占70%,扩展要求占30%) 在电信与数据存储中, 曼彻斯特编码(Manchester coding),又称自同步码、相位编码(phase encoding,PE),它能够用信号的变化来保持发送设备和接收设备之间的同步,在

以太网中,被物理层使用来编码一个同步位流的时钟和数据。曼彻斯特编码用电压的变化来分辨0 和1,从高电平到低电平的跳变代表0,而从低电平到高电平的跳变代表1。信号的保持不会超过一个比特位的时间间隔。即使是0 或1 的序列,信号也将在每个时间间隔的中间发生跳变。这种跳变将允许接收设备的时钟与发送设备的时钟保持一致,图3.1 为曼切斯特编码的例子。 设计一个电路,它能自动加载4 位并行数据,并将这4位数据逐个串行输出(高位在前),每个串行输出位都被编码成曼切斯特码,当4 位数据全部传输完成后,重新加载新数据,继续传输,如图3.2 所示。

第五章同步时序逻辑电路的习题数字逻辑知识讲解

第五章同步时序逻辑电路的习题 一、基本知识点 1时序逻辑电路的一般结构 特点:a 、有存储电路(记忆元件);有组合电路(特殊时可没有) b 、 包含反馈电路,电路功能与“时序”相关 c 、 输出不仅与输入(X )有关,而且与存储状态(Y )有关 分类:(1) Mealy 型 Z = F ( X , Q ) 输出是电路的输入和现态的函数 (注意输出与输入有直接关系) 过去输入 --------- ?现态 1 -- ?- 输出 现在输入 (2) Moore 型 Z = F ( Q ) 输出仅仅是电路现态的函数 (注意输出与输入 没有直接关系) 同步时序逻辑电路:各触发器共用同一时钟信号,即电路中各触发器状态的转换时刻在 统一时钟信号控制下同步发生。 异步时序逻辑电路:电路没有统一的时钟信号对状态变化进行同步控制, 输入信号的变 化将直接引起电路状态的变化。 〃本课程将较少讨论异步时序逻辑电路 2、同步时序逻辑电路的描述 注意:任一个同步时序逻辑电路的结构和功能可用 3组函数表达式完整地描述。 (1) 激励函数表达式: 存储电路输入 Y 与电路输入X 和现态Q 之间的关系 Y = F (X , Q ) //现态Q 就是上图存储电路原始的输出 y k (2) 次态函数表达式: 电路的次态Q n+1与激励函数Y 和现态Q 之间关系 Q n+1 = F (Y , Q ) //次态Q n+1就是上图存储电路再次触发后的输出 y k n+1 (3) 输出函数表达式: 电路的输出Z 和输入X 和当前现态Q 的关系 输 入 信 号 X 2 X 1 y s X n 输 出 信 号 所有输入 *现态 ---------- ? 输出

时序逻辑电路的设计

时序逻辑电路的设计 班级 姓名 学号

实验四时序逻辑电路的设计 一、实验目的 1. 学习时序逻辑电路的分析方法和设计方法。 2. 熟悉并掌握利用中小规模芯片实现时序逻辑电路的方法。 3. 提高调试数字电路的能力。 二、实验任务 利用实验盒中的计数器芯片74LS90、74LS161及其他器件设计并实现一个数字钟电路,具体要求如下: 1. 时间显示范围00:00~11:59; 2. 任何时刻可实现手动清零; 3. 实现整点报时功能,声响从54 分起、整点止,并要求报时声音清晰响亮。选择 学习机上的脉冲源做时钟信号;数码管LED4~LED1 显示小时和分钟;蜂鸣器做整点报时。 三、提高任务 1. 为数字钟电路设计对表调时功能。用学习机右侧数字键盘中的“START”按键作为 调时脉冲输入,其内部电路见图1。 图1 学习机上“START”按键的内部电路 2. 为数字钟电路设计一个上电自动清零电路,即通电后从00:00 开始计时。 四、实验说明 1. 了解芯片的引脚排列,特别注意74LS90 的电源和地引脚编号与其他芯片不同。 2. 学习机上的蜂鸣器BDC 为直流蜂鸣器:工作电压为3~12V 的直流电压。蜂鸣器 的工作电流约8~15mA。若门电路不能直接驱动蜂鸣器,可搭建图2 驱动电路。

图 2(a) 9011 引脚图 图 2(b )驱动电路 3. 学习机上的带译码器数码管 LED1~LED3 用作数字 钟的分个位、分十位和小时个位的显示。 不带译码器 LED4 作为小时的十位数字显示。小时十 位只需显示数字“0”和“1”,建议用图 4 电路连接方式 实现。其中: (1) 数码管公共端“com ”接学习机中的地“GND ”; (2) 数码管的b 、c 段接学习机中的5V ; (3) 数码管的a 、d 、e 和f 四段相互连接后,接到数字钟小时十位的输出端。 五、电路设计 1. 设计思路及工作原理 首先实现计时功能,分为分钟模块和小时模块,分钟模块用2片74LS90构成,其中一片接成十进制,输出端接到数码管上显示分钟的个位,并向下一级给出进位信号,另一片接成六进制,输出接到数码管上显示分钟的十位,并向下一级给出进位信号。小时模块用一片74LS161构成,由于小时的输出非一般,所以需要经过一系列门电路。 其次实现清零功能,由于3个芯片均有异步清零端,但有的为高电平,有的为低电平,所以只需将清零信号及反相后的信号分别接在清零端就行。 然后实现报时功能,只需将两片74LS90的输出端经过几个门电路即可实现54~59给出高电平。 再实现上电清零功能,只需在清零的开关和地之间并一个电容就行,这样上电后清零端给出低电平。 最后实现调时功能,加上一个控制电路很容易实现调时,但是也会出现思考题3中出现的问题,解决方案见下。 2. 电路性能测试结果。 能有效计时、报时、清零、调时,实现了要求的功能。 图 4 LED4 的连接图 3. 在实验中遇到的问题及解决方法。 主要问题有两个:一是调时时按一下跳很多次,开始时考虑的解决方法是仿照上电清零,在开关两端加电容,但是把实验盒中的电容用了个遍也没有解决问题,后来突然一下才想到可以用D 触发器的;二是开始时加上了蜂鸣器的驱动电路,后来发现其实芯片输出的电流是可以直接驱动蜂鸣器的。 4. 此次实验的收获。 学会了时序电路的设计和调试方法。

相关文档