文档库 最新最全的文档下载
当前位置:文档库 › 绕线机计数器电路设计

绕线机计数器电路设计

绕线机计数器电路设计
绕线机计数器电路设计

绕线机计数器电路设计

摘要

绕线机:顾名思义绕线机是把线状的物体缠绕到特定的工件上的机器。

凡是电器产品大多需用漆包铜线(简称漆包线)绕制成电感线圈,就需要用到绕线机。

例如:各种电动机,日光灯镇流器,各种大小变压器,电视机。收音机用的中周、电感线圈,行输出变压器(高压包),电子点火器、灭蚊器上的高压线圈,喇叭,耳机,麦克风的音圈,各种电焊机等不能一一举例,这些里面的线圈都需要用绕线机来绕。纺织行业多用棉纱线,人造纤维线等绕制各种适合纺织机用的纱团、绽这些都需要用一款绕线机来绕。

绕线机绕的转数一般比较多,圈数的要求在精密器件中要求十分严格,单一的靠人工计圈数是很麻烦也是不可能完成的。绕线机需要一个能自动计数的装置------绕线机计数器。

本课题是利用DN834霍尔传感器、CD40110 十进制可逆计数器/锁存器/译码器/驱动器以及共阴极数码显示器设计一个可以加减的绕线机计数器。首先用霍尔传感器收集绕线机转轴运转数据(每转一圈产生一个负脉冲)然后经过非门放大反相后便形成了计数正脉冲,然后送入CD40110,CD40110工作计数开始,并在数码管上显示计数结果。本文将讲述DN834霍尔传感器、CD40110十进制可逆计数器/锁存器/译码器/驱动器、共阴极数码显示器以及CD4069反相器的基本原理和特点,并对绕线机计数器的设计原理及功能做了详细介绍。然后又采用数电课本上提到到集成电路74192、4511来代替CD40110进行绕线机计数器的设计,复习和熟练自己在数字电路课程所学到的集成电路及其应用。

关键词:绕线机, 霍尔DN834, CD40110, CD4069, 数码显示器74192

目录

1 绪论 (1)

1.1课题描述 (1)

1.2基本工作原理、框图、电路图及元器件(基于40110) (2)

2 相关芯片及硬件电路设计(基于40110) (3)

2.1霍尔DN834芯片 (3)

2.1.1 霍尔元件的工作原理及应用 (3)

2.1.2 DN834的主要功能 (4)

2.2转动信号采集 (5)

2.3计数脉冲生成电路 (5)

2.4计数、译码电路 (6)

2.4.1 CD40110芯片功能、参数介绍 (6)

2.4.2 数码显示器简介 (8)

3 绕线机计数器的另一种设计(基于74192、4511) (10)

3.1基本工作原理、电路图及元器件简介 (10)

3.1.1基本工作原理、电路图 (10)

3.1.2 74LS192简介12

3.1.3 CD4511简介13 4绕线机计数器安装注意事项 (14)

总结 (16)

致谢 (17)

参考文献 (18)

1 绪论

1.1 课题描述

绕线机:顾名思义绕线机是把线状的物体缠绕到特定的工件上的机器。

凡是电器产品大多需要用漆包铜线(简称漆包线)绕制成电感线圈,就需要用到绕线机。

例如:各种电动机,日光灯镇流器,各种大小变压器,电视机。收音机用的中周、电感线圈,行输出变压器(高压包),电子点火器、灭蚊器上的高压线圈,喇叭,耳机,麦克风的音圈,各种电焊机等不能一一举例,这些里面的线圈都需要用绕线机来绕。纺织行业多用棉纱线,人造纤维线等绕制各种适合纺织机用的纱团、绽这些都需要用一款绕线机来绕。

常用绕线机绕制的线多为漆包铜线(绕制电子、电器产品的电感线圈),纺织线(绕制纺织机用的纱绽、线团),还有绕制电热器具用的电热线以及焊锡线,电线,电缆等。

绕线机的种类繁多,按其用途分类,可分为通用型和专用型;

通用型--由1根或数根线适合安装多种框架绕线的绕线机。常见的通用型漆包线绕线机有;装有1根园形绕轴的叫"单头机",依此类堆有"双头机"3头机"......"6头机"。

专用型--是装有固定的专用绕线夹头,只能绕制一种线圈的绕线机;常见的专用绕线机有;

一、绕制变压器方形变压器专用机;

1、方形变压器专用--(细线专用机,粗线专用机)

2、环形变压器专用--(大环机,中环机,小环机)

3、其它型式变压器专用

二、绕制电动机线圈专用机:

1、风扇电机专用机(座扇,吊扇,转页扇)

2、玩具微型电机专用机;(飞叉式,专用绕头式)

3、串激式转子线圈专用机(电动工具)

4、分马力电机,及大马力电机专用机。

三、绕制电感线圈的专用机

1、中周及色码电感专用机

2、小磁环电感线圈专用机

3、音箱分频线圈专用机

4、日光灯(电子节能灯)镇流器专用机

四、其它专用绕线机喇叭音圈专用机

1、喇叭音圈专用机

2、电热管专用机

3、绝缘胶带包带机

4、纺织纱绽专用机

绕线机按自动化程度又可分为简易型、半自动型、全自动型。电子控制方式有数控式微电脑单片机及IBM电脑控制。按安装方式分类为桌面式和落地式机。

绕线机绕的转数一般比较多,圈数的要求在精密器件中要求十分严格,单一的靠人工计圈数是很麻烦也是不可能完成的。绕线机需要一个能自动计数的装置------绕线机计数器。

本课题的内容就是研究绕线机计数器的设计。有基于CD40110,以及基于74LS192和CD4511的。

1.2 基本工作原理及框图

本课程设计的绕线机计数器由速度传感器电路、信号放大反相电路、计数译码电路、圈数数码显示构成。其基本工作原理:霍尔传感器电路将测量到的转动信号转换成负脉冲信号输出到信号放大反相电路,构造成计数脉冲,输入到CD40110进行计数并驱动数码管显示计数结果。

图1.1基本工作原理框图

图1.2 绕线计数器电路

本电路用到的元器件有:CD40110×4,DN843,CD4069, C1=1000p,C2=1u, R1=10K×2,R2=8K,R3=2K, R4=2.2M,数码显示器×4,开关……

2 相关芯片及硬件电路设计(基于40110)

2.1 霍尔DN834集成电路

DN834是由霍尔效应而制成的霍尔元件的集成电路,作为磁性传感器。

2.1.1霍尔元件的工作原理及应用

所谓霍尔效应,是指磁场作用于载流金属导体、半导体中的载流子时,产生横向电位差的物理现象。金属的霍尔效应是1879年被美国物理学家霍尔发现的。当电流通过金属箔片时,若在垂直于电流的方向施加磁场,则金属箔片两侧面会出现横向电位差。半导体中的霍尔效应比金属箔片中更为明显,而铁磁金属在居里温度以下将呈现极强的霍尔效应。

利用霍尔效应可以设计制成多种传感器。霍尔电位差UH的基本关系为

UH=RHIB/d (18)

RH=1/nq(金属)(19)

式中 RH——霍尔系数:

n——单位体积内载流子或自由电子的个数

q——电子电量;

I——通过的电流;

B——垂直于I的磁感应强度;

d——导体的厚度。

由于通电导线周围存在磁场,其大小与导线中的电流成正比,故可以利用霍尔元件测量出磁场,就可确定导线电流的大小。利用这一原理可以设计制成霍尔电流传感器。其优点是不与被测电路发生电接触,不影响被测电路,不消耗被测电源的功率,特别适合于大电流传感。

若把霍尔元件置于电场强度为E、磁场强度为H的电磁场中,则在该元件中将产生电流I,元件上同时产生的霍尔电位差与电场强度E成正比,如果再测出该电磁场的磁场强度,则电磁场的功率密度瞬时值P可由P=EH确定。

利用这种方法可以构成霍尔功率传感器。

如果把霍尔元件集成的开关按预定位置有规律地布置在物体上,当装在运动物体上的永磁体经过它时,可以从测量电路上测得脉冲信号。根据脉冲信号列可以传感出该运动物体的位移。若测出单位时间内发出的脉冲数,则可以确定其运动速度。

霍尔元件应用霍尔效应的半导体。

根据霍尔效应,人们用半导体材料制成的元件叫霍尔元件。它具有对磁场敏感、结构简单、体积小、频率响应宽、输出电压变化大和使用寿命长等优点,因此,在测量、自动化、计算机和信息技术等领域得到广泛的应用。

霍尔传感器分为线性型霍尔传感器和开关型霍尔传感器两种:

(一)线性型霍尔传感器由霍尔元件、线性放大器和射极跟随器组成,它输出模拟量。

(二)开关型霍尔传感器由稳压器、霍尔元件、差分放大器,斯密特触发器和输出级组成,它输出数字量。

霍尔传感器在现实中有很广的应用:电流传感器、电压传感器、位移测量……

2.1.2DN834的主要功能

DN834是霍尔元件集成电路,它对磁场敏感,在磁场变化时能输出电压变化大的脉

冲信号,用来收集信息,是霍尔传感器的重要部件。

2.2 转动信号采集

在绕线机的转轴上安装一永久强磁铁,让它随着转轴的转动来驱动霍尔元件产生负脉冲,每转一圈霍尔元件就会产生一个负脉冲,这样就把转动的圈数转换成了一个一个的负脉冲,这就完成了转动信号的采集过程。

2.3计数正脉冲生成电路

IC1是霍尔集成电路DN834,它与永久小磁铁一起构成传感电路,永久磁铁固定在绕线机的转轴的塑料圆盘上,每当主轴转动一周(相当于线圈线一匝)便会在DN834的输出端的第三脚上输出一个负脉冲,该信号经过非门1,2放大后,再经过非门三反相,便形成了一个技术正脉冲,加入IC3的CP端进行计数。这里的非门采用的是CD4069反相器来完成的,下面就介绍一下CD4069的基本信息:

CD4069---六反相器

简要说明:

CD4069是由六个反相器的电路组成,此器件主要作通用反相器,即用于不需要中功率TTL驱动和逻辑电平转换的电路中。

CD4069提供了14引线多层陶瓷双列直插(D),熔封陶瓷双列直插(J),塑料双列直插(p)和陶瓷片状载体(c)四种封装形式。

推荐工作条件:

电源电压范围:3v-5v 输入电压范围0-Vcc

图2.1 CD4069引脚图图2.2 CD4069逻辑图

2.4 计数、译码电路

当电路通电工作时,由C2,R33微分电路形成的正脉冲会使电路自动清零,S1为手动清零开关。正脉冲计数信号送入CD40110的加计数时钟端,开始计数,IC3~IC6组成的四位级联计数电路,当绕线满10匝时,IC3的Q端输出一个进位正脉冲,满100匝时,IC4产生一个进位正脉冲,满1000匝时,IC5产生一个进位正脉冲,因此最大计数为9999匝。也可以加CD40110以及数码显示器级联成计数位数更大的计数器。

2.4.1 CD40110芯片功能、参数介绍

简要说明:

40110为十进制可逆计数器/锁存器/译码器/驱动器,具有加减计数,计数器状态锁存,七段显示译码输出等功能。

40110有2个计数时钟输入端CPU和CPD分别用作加计数时钟输入和减计数时钟输入。由于电路内部有一个时钟信号预处理逻辑,因此当一个时钟输入端计数工作时,另一个时钟输入端可以是任意状态。

40110的进位输出 CO和借位输出BO一般为高电平,当计数器从0~9时,BO输出负脉冲;从9~0时CO输出负脉冲。在多片级联时,只需要将CO和BO分别接至下级40110的CPU和CPD端,就可组成多位计数器。

引出端符号:

BO 借位输出端

CO 进位输出端

CPD 减计数器时钟输入端

CPU 加计数器时钟输入端

CR 清除端

/CT 计数允许端

/LE 锁存器预置端

VDD 正电源

Vss 地

Ya~6g 锁存译码输出端

推荐工作条件:

电源电压范围…………3V~18V

输入电压范围…………0V~VDD

工作温度范围

M类…………-55℃~125℃

E类………….-40℃~85℃

电源电压…...-0.5V~18V

输入电压……-0.5V~VDD+0.5V

输入电流…………….±10mA

储存稳定…………-65℃~150℃

引出端排列如图2.3 逻辑图如图2.4 功能表如图2.5

图2.3 CD40110引脚图

图2.4 CD40110逻辑图

图2.5 CD40110功能表

2.4.2 数码显示器简介

某些特殊的半导体材料,但外加正向电压时,可以将电能转化为光能,从而发出清晰悦目的光线,利用这样的半导体材料制成的PN结即可以封装成单个的发光二极管,也可以封装成分段式或点阵式的显示器件。其中七段发光二极管有以下两种接法:共阳极和共阴极。

图2.6 七段发光二极管的两种接法(左共阴极,右共阳极)

图2.7 共阴极数码管引脚定义图

在图中,只要使不同段的发光二极管发光,即可改变所显示的数字和字母。例如,a、b、g、e、d各段的二板管发光,即可显示“2”,使图中的a、f、g、e、d五个发光二极管亮则可显示英文大写字母“E”。LED七段数码管根据其内部LED的连接方法不同,有共阴极和共阳极两种接法,如图2.6所示。

在共阴极接法中,当某一段发光二极管输入为高电平时,该发光二极管亮,反之,则熄灭。而在共阳极接法中,刚好与共阴极接法相反。

由于发光二极管通常需几个~几十个毫安的驱动电流才能发光,因此,每个显示器必须用一个七位的驱动器才能正常工作。驱动电路也可以用集成电路驱动器,现在已经生产出带译码器的驱动器,如74LS47、74LS48等。此外,由于要显示的数字为BCD码,而七段数码管的显示模型为七段代码,所以在显示之前,必须把BCD码转换成七段代码。

3 绕线机计数器的另一种设计(基于74192、4511)

CD40110为十进制可逆计数器/锁存器/译码器/驱动器,具有加减计数,计数状态锁存,七段显示译码输出等功能。它集计数,译码驱动于一体,用起来很方便。在我们的数字电路技术教程里有单独计数器74192,还有单独的七段码显示译码器驱动器4511,下面就介绍一下如何应用74192及4511设计出绕线机计数器。

3.1 基本工作原理、电路图及元件简介

3.1.1 基本工作原理、电路图

基于74192与4511的绕线机计数器的设计原理和基于CD40110的一样,只不过是计数器、译码器、驱动器的选择不一样罢了,原理框图如下:

原理图有了,下面就是电路图了,电路图如图3.2所示:

图3.2 基于74192.、4511的绕线机计数器电路图

3.1.2 74LS192简介

74LS192是同步十进制可逆计数器,它具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号下图所示:

图3.3 74LS192引脚排列及其功能

图3.4 74LS192逻辑符号

CPU为加计数时钟输入端,CPD为减计数时钟输入端。

LD为预置输入控制端,异步预置。

CR为复位输入端,高电平有效,异步清除。

CO为进位输出:1001状态后负脉冲输出,

BO为借位输出:0000状态后负脉冲输出。

D1~D3为置数端,

QA~QD为输出端。

下面再介绍一下74LS192的功能,其功能表如下:

表3.1 74LS192的功能表

LD

3.1.3 CD4511简介

BCD七段显示器译码器/译码器IC CD4511是一组用来作为BCD对共阴极LED七段显示器译码的包装。其引脚如图3.5所示

图3.5 CD4511引脚图

LT:做灯泡测试用,当LT=0,则不论其它输入状态为何,其输出abcdefg=1111111,

使七段显示器全亮,即显示8,以便观测七段显示器是否正常。当LT=1,则正

常解码。

BI:空白输入控制,当BI=0 (LT 为1 时) 则不论DCBA 之输入为何,其输出abcdefg

皆为0,即七段显示器完全不亮,此脚可供使用者控制仅对有效数据译码,避免

在无意义的数据输入时显示出来造成字型的系乱。

LE:数据栓锁致能控制;在CD4511 中,不但具译码功能,更具有数据栓锁的记忆功能。当LE=0 时(LT=1 且 BI=1),DCBA 数据会被送入IC 的缓存器中保存,以供译码器码;当LE=1 时,则IC 中的暂存器会关闭,仅保存原来在LE=0 时的DCBA数据供译码器译码。换句话说当LE=1 时,不论DCBA 的输入数据为何,皆不影响其输出,其输出abcdefg 仍保留原来在LE 由0转为1以前的状态。

CD4511的真值表如图3.6表格所示:

图3.6 CD4511真值表

4 绕线机计数器安装时的注意事项

计数器设计好之后,接下来的就是计数器与绕线机的硬件结合了。电路中的磁铁做

好事选用磁性较强的专用霍尔磁钢,体积要小巧,再者就是要安装在绕线机主转轴的塑料盖子上,使磁钢随主轴的转动而转动;然后是霍尔集成电路的安装,把霍尔集成电路固定在主转轴安放磁钢的旁边,与磁钢垂直相对,距离保持在3~7mm左右,这样保证霍尔集成电路的敏感性,使测量更准确。

总结

经过近多日的努力,终于将本次课程设计做完了,但由于水平有限,文中肯定有很多不恰当的地方,请老师指出其中的错误和不当之处,使我能做出改正,我会虚心接受。在本次课程设计过程中,我增强了自己的动手能力和分析能力。通过跟老师和同学的交流,也通过自己的努力,我按时完成了这次课程设计。在此过程中,我学会了很多,也看到了很多自己的不足之处。在以后的学习生活中,我会努力学习专业知识,完善自我,为将来的发展做好充分的准备。

总之,在这次课程设计中,我受益匪浅,学到了很多书本上所没有的东西,懂得了理论和实际联系的重要性。在以后的学习中,我不仅要把理论知识掌握牢固,更要提高自己的动手能力和分析能力。

致谢

通过一周的努力,终于将电子技术课程设计完成了,在完成课程设计的这一周中,李如昌老师给予了我很大的帮助。他不仅是指导我完成了设计,还教会了我做设计的一般步骤、设计思想和设计方法。当我对此课程设计无从下手的时候,李老师专心地为我讲解,为我解决了很多实际存在的困难和问题,使我对此次的课程设计能圆满完成增添了很多信心,真正的从心理和解决实际问题上为我树立了很好的榜样,我为能有这样的好老师而感觉到骄傲。还有就是同学们在一起互相学习,互通有无,在课程设计过程中叶帮了我不少忙,感谢同学们的帮助。通过这次的课程设计,不仅使我学到了很多专业方面的知识,也让我明白了不畏困难、勇于攀登艰难的重要性,这对我未来的学习和生活产生很大的影响。

在此,再次感谢我的学校和李老师,还有可爱的同学们。

参考文献

[1]刘修文.实用电子电路设计300例[M].北京:中国电力出版社,2004.

[2]臧春华等,电子线路设计与应用[M].北京:高等教育出版社,2006.

[3]数字集成电路应用300例黄继昌人民邮电出版

[4]电子线路设计指导李银华北京航空航天大学出版社

[5]新编电子元器件选用与检测郑凤翼福建科学技术出版社

[6]电子制作实训刘进峰中国劳动社会保障出版社

[7]传感器原理与应用张正伟中央广播电视大学出版社

[8]电子线路设计指导北京航空航天大学出版社李银华主编

[9]常用电工电子线路中国电力出版社姜立华主编

[10]实用用电子装置DIY 福建科学技术出版社

实验四 计数器电路设计

实验四、计数器电路的设计 一、实验目的 1、掌握计数器电路的设计方法; 2、进一步掌握电路的设计、编译、仿真和下载测试的方法。 二、实验要求 1、基本要求 1)设计一个具有异步复位和同步使能的4位二进制加法计数器 2)设计一个具有异步复位和同步使能、并行置数的加减可控的8位二进制计数器 3)设计一个具有异步复位和同步使能的BCD码加法计数电路, 2、扩展要求 1)设计一个具有异步复位和同步使能的六十进制加法计数电路 2)设计一个具有异步复位和同步使能的二十四进制加法计数电路 三、实验原理 四、实验内容及步骤 1、建立一个工程项目,路径如:D:\A0512301\forth,项目名和顶层实体名为count。 2、设计一个具有异步复位和同步使能的4位二进制计数器,并进行编译仿真与下载测试; 3、设计一个具有异步复位和同步使能、并行置数的加减可控的8位二进制计数器,并进行编译仿真与下载测试; 4、设计一个具有异步复位和同步使能的十进制加法计数电路,并进行编译仿真与下载测 试; 五、参考程序 1、四位加法计数器 LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL ; USE IEEE.STD_LOGIC_UNSIGNED.ALL ; ENTITY CNT4 IS PORT ( CLK : IN STD_LOGIC ; Q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ) ; END ; ARCHITECTURE bhv OF CNT4 IS SIGNAL Q1 : STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN PROCESS (CLK) BEGIN IF CLK'EVENT AND CLK = '1' THEN Q1 <= Q1 + 1 ; END IF; END PROCESS ; Q <= Q1 ; END bhv; 2、异步复位,同步使能十进制加法计数器 LIBRARY IEEE;

EDA实验报告-实验3计数器电路设计(DOC)

暨南大学本科实验报告专用纸 课程名称EDA实验成绩评定 实验项目名称计数器电路设计指导教师郭江陵 实验项目编号03 实验项目类型验证实验地点B305 学院电气信息学院系专业物联网工程 组号:A6 一、实验前准备 本实验例子使用独立扩展下载板EP1K10_30_50_100QC208(芯片为EP1K100QC208)。EDAPRO/240H实验仪主板的VCCINT跳线器右跳设定为3.3V;EDAPRO/240H实验仪主板的VCCIO跳线器组中“VCCIO3.3V”应短接,其余VCCIO均断开;独立扩展下载板“EP1K10_30_50_100QC208”的VCCINT跳线器组设定为 2.5V;独立扩展下载板“EP1K10_30_50_100QC208”的VCCIO跳线器组设定为3.3V。请参考前面第二章中关于“电源模块”的说明。 二、实验目的 1、了解各种进制计数器设计方法 2、了解同步计数器、异步计数器的设计方法 3、通过任意编码计数器体会语言编程设计电路的便利 三、实验原理 时序电路应用中计数器的使用十分普遍,如分频电路、状态机都能看到它的踪迹。计数器有加法计数器、可逆计数器、减法计数器、同步计数器等。利用MAXPLUSII已建的库74161、74390分别实现8位二进制同步计数器和8位二——十进制异步计数器。输出显示模块用VHDL实现。 四、实验内容 1、用74161构成8位二进制同步计数器(程序为T3-1); 2、用74390构成8位二——十进制异步计数器(程序为T3-2); 3、用VHDL语言及原理图输入方式实现如下编码7进制计数器(程序为T3-3): 0,2,5,3,4,6,1 五、实验要求 学习使用Altera内建库所封装的器件与自设计功能相结合的方式设计电路,学习计数器电路的设计。 六、设计框图 首先要熟悉传统数字电路中同步、异步计数器的工作与设计。在MAX+PLUS II中使用内建的74XX库选择逻辑器件构成计数器电路,并且结合使用VHDL语言设计转换模块与接口模块,最后将74XX模块与自设计模块结合起来形成完整的计数器电路。并借用前面设计的数码管显示模块显示计数结果。 ◆74161构成8位二进制同步计数器(程序为T3-1)

简易计算器的设计与实现

沈阳航空航天大学 课程设计报告 课程设计名称:单片机系统综合课程设计课程设计题目:简易计算器的设计与实现 院(系): 专业: 班级: 学号: 姓名: 指导教师: 完成日期:

沈阳航空航天大学课程设计报告 目录 第1章总体设计方案 (1) 1.1设计内容 (1) 1.2设计原理 (1) 1.3设计思路 (2) 1.4实验环境 (2) 第2章详细设计方案 (3) 2.1硬件电路设计 (3) 2.2主程序设计 (7) 2.2功能模块的设计与实现 (8) 第3章结果测试及分析 (11) 3.1结果测试 (11) 3.2结果分析 (11) 参考文献 (12) 附录1 元件清单 (13) 附录2 总电路图 (14) 附录3 程序代码 (15)

第1章总体设计方案 1.1 设计内容 本设计是基于51系列的单片机进行的十进制计算器系统设计,可以完成计算器的键盘输入,进行加、减、乘、除1位无符号数字的简单四则运算,并在6位8段数码管上显示相应的结果。 设计过程在硬件与软件方面进行同步设计。硬件方面从功能考虑,首先选择内部存储资源丰富的8751单片机,输入采用4×4矩阵键盘。显示采用6位8段共阳极数码管动态显示。软件方面从分析计算器功能、流程图设计,再到程序的编写进行系统设计。编程语言方面从程序总体设计以及高效性和功能性对C语言和汇编语言进行比较分析,最终选用汇编语言进行编程,并用protel99se涉及硬件电路。 1.2 设计原理 在该课程设计中,主要用到一个8751芯片和串接的共阳数码管,和一组阵列式键盘。作为该设计的主要部分,下面将对它们的原理及功能做详细介绍和说明。 1)提出方案 以8751为核心,和数码管以及键盘用实验箱上已有的器件实现计算器的功能。 2) 总体方案实现 (1)要解决键值得读入。先向键盘的全部列线送低电平,在检测键盘的行线,如果有一行为低电平,说明可能有按键按下,则程序转入抖动检测---就是延时10ms再读键盘的行线,如读得的数据与第一次的相同,说明真的有按键按下,程序转入确认哪一键按下的程序,该程序是依次向键盘的列线送低电平,然后读键盘的行线,如果读的值与第一次相同就停止读,此时就会的到键盘的行码与列码

数字电路设计--------二十四进制计数器

数字电路设计 姓名:*** 学号:****************** 班级:电信111 专业:电子信息科学与技术 一.设计题目 二十四进制计数器的设计 二.设计要求 (1)要求学生掌握74系列的芯片和LED的原理和使用方法。 (2)熟悉集成电路的使用方法,能够运用所学的知识设计一规定的电路。三.设计任务 (1)完成一个二十四进制的计数器。 (2)LED显示从00开始,各位计数从0—9,逢10 进1,是为计数0—5。23显示后,又从00重新开始计数。 四.设计思路与原理 (一)设计思路框图 →→→ → (二)LED简介 LED是一种显示字段的显示器件,7个发光二极管构成七笔字形“8”,一个发光二极管构成小数点。七段发光管分别称为a、b、c、d、e、f,g,构成字型“8”,如图(a)

所示,当在某段发光二极管上施加一定的电压时,某些段被点亮发光。不加电压则变暗,为了保护各段LED不被损坏,需外加限流电阻。 其真值表如下:

(三)原件总汇表:计数器74LS00D(U7A,U7B),74HC390N-6V(U3A,U6A),74LS47N(U1,U5);与门:时钟脉冲:显示器:发光二极管:电感:电容:电源 五.电路图仿真 二十四进制计数器电路仿真

六.心得体会 通过这一次的数字电路设计,是我更深的了解到了数字电路的基础知识,电路分析与计算的方法。利用仿真软件对电路进行一系列的分析仿真,更加抽象的将理论知识与实际电路结合在一起,加深了对数电一些基本定理的理解与运用。虽然在这学期中,数字电子技术基础学的不是很好,但是在这次的课程设计中通过同学的帮组还是完成了。虽然做的不是很好,但是从中也让我明白了:要想做好这个课程设计,就必须认认真真地去做,不要怕麻烦,遇到不懂的问题就要主动去问同学或者老师。和查阅材料,保持着一个积极向上的心态,发挥我们自己的主观能动性和创造了才能让我们做的更好。在这次课程设计中让我学到了很多东西,在经过我们一个学期的数字电子技术基础课后,我们已经对数字电子技术有一定的了解,让我们有了一定的基础可以独立完成数字电子技术基础课程设计了,不过当中还是遇到许多不懂的问题。

产品计数器课设1

燕山大学课程设计说明书 产 品 计 数 器

光电计数器的设计 摘要 本系统采用的是以单片机STC89c52为核心的自动计数器。采用反射式光电传感器,将激光发射管与接收管相邻安放,每当物体通过一次,激光就被物体遮挡一次,光电接收管的输出电压就发生一次变化,这个变化的电压信号通过放大和处理后,形成计数脉冲,输入至STC89c52单片机的P1口,通过软件控制用LED 加以显示,便可实现对物体的计数统计。本计数器可将机械或人工计数方式变为电子计数,并且采用LED数码管显示,可适用于诸多行业,以满足现代生产、生活方式的需求。 所谓的光电式传感器是将光信号转化为电信号的一种传感器。它的理论基础是光电效应。这类效应大致可分为三类。第一类是外光电效应,即在光照射下,能使电子逸出物体表面。利用这种效应所做成的器件有真空光电管、光电倍增管等。第二类是内光电效应,即在光线照射下,能使物质的电阻率改变。这类器件包括各类半导体光敏电阻。第三类是光生伏特效应,即在光线作用下,物体内产生电动势的现象,此电动势称为光生电动势。这类器件包括光电池、光电晶体管等。光电效应都是利用光电元件受光照后,电特性发生变化。敏感的光波长是在可见光附近,包括红外波长和紫外波长。市场上的光电计数器采用的光电传感器有摄像头、光电管等,采用的光的种类有普通光和激光,可见光和不可见光等。光电传感器一般由光源、光学通路和光电元件三部分组成。光电式传感器是以光电器件作为转换元件的传感器,光电检测方法具有精度高、应用快、非接触等优点,而可测参数多,光电传感器的结构简单,形式灵活多变因此,光电式传感器在检测和控制中应用非常广泛。 【关键词】计数器光电传感器单片机数码管

具有加减识别功能的绕线机电子计数器

目录 摘要 (2) 前言 (3) 第一章设计方案 (4) 1.1设计目的 (4) 1.2设计要求 (4) 1.3总原理框图 (4) 1.3各单元框图电路设计 (4) (1)信号采集电路 (4) (2)加减识别电路 (5) (3)计数、译码、驱动、显示 (7) 第二章相关元器件介绍 (9) 2.1红外发射接收对管 (9) 2.2施密特触发器 (10) 11 (12) 2.5 CD4013-双上升沿D触发器 (12) 2.6 CD40110 (14) 第三章具有加减识别功能的绕线机电子计数器总电路 (16) 3.1总电路图 (16) 3.2总电路原理 (17) 第四章结论 (18) 参考文献 (19) 致谢 (20)

摘要 绕线机是用来绕制线圈的专用设备,而计数器则起到了计数作用。通过计数器我们可以了解产品的工作状态。绕线机种类很多,由于各种线圈产品的功能要求不同,目前常见绕线机的有全自动绕线机、半自动绕线机、环形绕线机、伺服精密绕线机、变压器绕线机、电感线圈绕线机等机种。本课程设计主要是通过红外线传感器来计数绕线机转数,然后通过计数,锁存,译码等步骤最终在数码管显示数字。 关键词:绕线机,CD4013,CD40106,CD40110,红外对管 Abstract Coiling machine is used for the special equipment of Coilingaround the system, and counter does the count function. Through the counter we can understand the working state of the products. Coiling machine type many, because all kinds of different requirements for the product function coil, the most common of the winding machine fully automatic winding machine, semi-auto coiling machine, annular coiling machine, servo precision coiling machine, transformer winding machine, inductance coil winding machine model. This course is designed by infrared sensors to count coiling machine RPM, and then through the count, lock to save, steps in the digital decoder eventually pipe display Numbers Keyword:coiling machine,CD4013,CD40106,CD40110,infrared geminate transistors 前言 绕制绕组设备一般都装计数器,常用的计数器有机械式和电子式计数器。在绕组绕制中,当绕组匝数达到一定值停机,由于绕线机转动惯量很大,绕线机不会立刻停止转动,即使提前采取措施也很难绕到规定匝数,还去要正转或者反转调整。为此我们需要设计一个绕线机计数器来对绕线机转数进行计数控制。而数字式电子计数器有直观和计数精确的优点,目前已在各种行业中普遍使用。数字式电子计数器有多种计数触发方式,它是由实际使用条件和环境决定的。有采用机械方式的接触式触发的,有采用电子传感器的非接触式触发的,光电式传感器是其中之一,它是一种非接触式电子传感器,利用光电元件制成的自动计数装置。其工作原理是从光源发出的一束平行光照射在光电元件(如光电管、光敏电阻等)上,每当这束光被遮挡一次时,光电元件的工作状态就改变一次,通过放大器可使计数器记下被遮挡的次数。常用于记录成品数量或展览会参观者人数。这种计数器在工厂的生产流水线上作产品统计,有着其他计数器不可取代的优点。该例光电触发式电子计数器只有两位数,但通过级联可以扩展为四位,甚至多位。

单片机简易计算器的设计

基于AT89C51单片机简易计算器的设计 【摘要】单片机的出现是计算机制造技术高速发展的产物,它是嵌入式控制系统的核心,如今,它已广泛的应用到我们生活的各个领域,电子、科技、通信、汽车、工业等。本设计是基于51系列单片机来进行的数字计算器系统设计,可以完成计算器的键盘输入,进行加、减、乘、除六位数范围内的基本四则运算,并在LCD上显示相应的结果。设计电路采用AT89C51单片机为主要控制电路,利用MM74C922作为计算器4*4键盘的扫描IC读取键盘上的输入。显示采用字符LCD静态显示。软件方面使用C语言编程,并用PROTUES仿真。 【关键词】简单计算器单片机 LCD 【正文】 一、总体设计 根据功能和指标要求,本系统选用MCS-51系列单片机为主控机。通过扩展必要的外围接口电路,实现对计算器的设计。具体设计如下:(1)由于要设计的是简单的计算器,可以进行四则运算,为了得到较好的显示效果,采用LCD 显示数据和结果。 (2)另外键盘包括数字键(0~9)、符号键(+、-、×、÷)、清除键和等号键,故只需要16 个按键即可,设计中采用集成的计算键盘。 (3)执行过程:开机显示零,等待键入数值,当键入数字,通过LCD显示出来,当键入+、-、*、/运算符,计算器在内部执行数值

转换和存储,并等待再次键入数值,当再键入数值后将显示键入的数值,按等号就会在LCD上输出运算结果。 (4)错误提示:当计算器执行过程中有错误时,会在LCD上显示相应的提示,如:当输入的数值或计算得到的结果大于计算器的表示范围时,计算器会在LCD上提示溢出;当除数为0时,计算器会在LCD 上提示错误。 系统模块图: 二、硬件设计 (一)、总体硬件设计 本设计选用AT89C51单片机为主控单元。显示部分:采用LCD 静态显示。按键部分:采用4*4键盘;利用MM74C922为4*4的键盘扫描IC,读取输入的键值。 总体设计效果如下图:

生产线产品产量自动计数器电路设计

毕业设计说明书(论文) 课题名称:生产线产品产量自动计数器电路设计 航空电子设备维修专业081331班 学生姓名:赵繁学号29 指导老师:姚卫华技术职称______________ 2011年 4 月 2 日

毕业设计(论文)任务书 学生姓名:赵繁班级:081331 1.毕业设计(论文)题目: 生产线产品产量自动计数器电路设计 2.毕业设计(论文)使用的原始资料数据及设计技术要求: 1、电子技术基础实验; 2、数字电子技术基础 本设计要求发光器件和光接收器件之间的距离大于1m,最大计数值为99,每计数100,用灯闪烁2s指示一下,LED数码管显示计数值,可上电自动复位和外部手动人工复位。 3.毕业设计(论文)工作内容及完成时间: 此设计采用组合与时序逻辑电路,采用模块化方法设计电路图。每计数一百LED灯闪烁2s,同时蜂鸣器发出响声作为提示音。 日期:自2010年12月15日至2011年4月2日 指导老师评语: _____________________________________________________________________________ _____________________________________________________________________________ _____________________________________________________________________________ _____________________________________________________________________________ _________________________________________________________ 指导老师:_______________ 系主任:____________

具有加减识别功能的绕线机电子计数器

目录 摘要................................................................................................................................ 错误!未定义书签。前言................................................................................................................................ 错误!未定义书签。第一章设计方案 .. (4) 1.1设计目的 ......................................................................................................... 错误!未定义书签。 1.2设计要求 ......................................................................................................... 错误!未定义书签。 1.3总原理框图..................................................................................................... 错误!未定义书签。 1.3各单元框图电路设计................................................................................... 错误!未定义书签。 (1)信号采集电路 ......................................................................... 错误!未定义书签。 (2)加减识别电路 ......................................................................... 错误!未定义书签。 (3)计数、译码、驱动、显示 ..................................................... 错误!未定义书签。第二章相关元器件介绍. (9) 2.1红外发射接收对管 (9) 2.2施密特触发器 (10) 2.3 ST188 (11) 2.4芯片CD40106.................................................................................... 错误!未定义书签。 2.5 CD4013-双上升沿D触发器 (12) 2.6 CD40110............................................................................................. 错误!未定义书签。第三章具有加减识别功能的绕线机电子计数器总电路.............. 错误!未定义书签。 3.1总电路图 ........................................................................................................ 错误!未定义书签。 3.2总电路原理.................................................................................................... 错误!未定义书签。第四章结论 ............................................................................................................. 错误!未定义书签。参考文献 ..................................................................................................................... 错误!未定义书签。致谢................................................................................................................................ 错误!未定义书签。

简易计算器课程设计

评阅教师评语:课程设计成绩 考勤成绩 实做成绩 报告成绩 总评成绩指导教师签名: 课程设计报告 论文题目基于ARM的简易计算器设计 学院(系):电子信息与自动化学院 班级:测控技术与仪器 学生姓名:同组同学: 学号:学号: 指导教师:杨泽林王先全杨继森鲁进时间:从2013年 6 月10 日到2013年 6 月28 日 1

目录 1、封面—————————————————————P1 2、目录—————————————————————P2 3、前言—————————————————————P3 4、关键字————————————————————P3 5、原理与总体方案————————————————P3 6、硬件设计———————————————————P6 7、调试—————————————————————P10 8、测试与分析——————————————————P11 9、总结—————————————————————P13

10、附件—————————————————————P14 前言 近几年,随着大规模集成电路的发展,各种便携式嵌入式设备,具有十分广阔的市场前景。嵌入式系统是一种专用的计算机系统,作为装置或设备的一部分。通常,嵌入式系统是一个控制程序存储在ROM中的嵌入式处理器控制板。事实上,所有带有数字接口的设备,如手表、微波炉、录像机、汽车等,都使用嵌入式系统,有些嵌入式系统还包含操作系统,但大多数嵌入式系统都是是由单个程序实现整个控制逻辑。在嵌入式系统中,数据和命令通过网络接口或串行口经过ARM程序处理后,或显示在LCD上,或传输到远端PC上。 本文通过周立功的LPC2106芯片完成的简易计算器,正是对嵌入式应用的学习和探索。 一、摘要: 计算器一般是指“电子计算器”,是能进行数学运算的手持机器,拥有集成电路芯片。对于嵌入式系统,以其占用资源少、专用性强,在汽车电子、航空和工控领域得到了广泛地应用。本设计就是先通过C语言进行相应程序的编写然后在ADS中进行运行最后导入PROTUES进行仿真。最后利用ARM中的LPC2106芯片来控制液晶显示器和4X4矩阵式键盘,从而实现简单的加、减、乘、除等四则运算功能。 关键字:中断,扫描,仿真,计算 二、原理与总体方案: 主程序在初始化后调用键盘程序,再判断返回的值。若为数字0—9,则根据按键的次数进行保存和显示处理。若为功能键,则先判断上次的功能键,根据代号执行不同功能,并将按键次数清零。程序中键盘部分使用行列式扫描原理,若无键按下则调用动态显示程序,并继续检测键盘;若有键按下则得其键值,并通过查表转换为数字0—9和功能键与清零键的代号。最后将计算结果拆分成个、十、百位,再返回主程序继续检测键盘并显示;若为清零键,则返回主程序的最开始。 电路设计与原理:通过LPC2106芯片进行相应的设置来控制LCD显示器。 而通过对键盘上的值进行扫描,把相应的键值通过MM74C922芯片进行运算从而

数字电路实验 计数器的设计

数字电路与逻辑设计实验报告实验七计数器的设计 姓名:黄文轩 学号:17310031 班级:光电一班

一、实验目的 熟悉J-K触发器的逻辑功能,掌握J-K触发器构成异步计数器和同步计数器。 二、实验器件 1.数字电路实验箱、数字万用表、示波器。 2.虚拟器件: 74LS73,74LS00, 74LS08, 74LS20 三、实验预习 1. 复习时序逻辑电路设计方法 ①根据设计要求获得真值表 ②画出卡诺图或使用其他方式确定状态转换的规律 ③求出各触发器的驱动方程 ④根据已有方程画出电路图。 2. 按实验内容设计逻辑电路画出逻辑图 Ⅰ、16进制异步计数器的设计 异步计数器的设计思路是将上一级触发器的Q输出作为下一级触发器的时钟信号,置所有触发器的J-K为1,这样每次到达时钟下降沿都发生一次计数,每次前一级 触发器从1变化到0都使得后一级触发器反转,即引发进位操作。 画出由J-K触发器组成的异步计数器电路如下图所示:

使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位 触发器的输出,以及时钟信号。: 可以看出电路正常执行16进制计数器的功能。 Ⅱ、16进制同步计数器的设计 较异步计数器而言,同步计数器要求电路的每一位信号的变化都发生在相同的时间点。

因此同步计数器各触发器的时钟脉冲必须是同一个时钟信号,这样进位信息就要放置在J-K 输入端,我们可以把J-K端口接在一起,当时钟下降沿到来时,如果满足进位条件(前几位触发器输出都为1)则使JK为1,发生反转实现进位。 画出由J-K触发器和门电路组成的同步计数器电路如下图所示 使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位触发器的输出,计数器进位输出,以及时钟信号。:

0-99手动计数器的设计要点

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊ 第1章绪论 1.1 计数器介绍 本设计是根据我们所学习的单片机课程,按照课程要求进行的课程设计。单片机技术是一个不可或缺的技术,尤其是对于我们电气专业来说它是我们必须要掌握的技能之一,使我们未来工作和生活的根本。现在的社会是一个信息科技高速发展的社会,也是一个电子技术和微机计算机迅速发展的时代,单片机的档次和水平在不断的提高,其应用的领域和范围也越来越广,成为现代电子系统中最重要的智能化核心部分。 随着计数器技术的不断发展与进步,计数器的种类越来越多,应用的范围越来越广,随之而来的竞争也越来越激烈。过硬的技术也成为众多生产厂商竞争的焦点之一。厂商为了在竞争中处于不败之地,从而不断地改进技术,增加产品的种类。 现计数器的种类以增加到:电磁计数器、电子计数器、机械计数器(拉动机械计数器、转动机械计数器、按动机械计数器、测长机械计数器)、液晶计数器等。计数器的应用范围也遍布印刷、纺织、印染、针织、电缆、电讯、军工、轻工、机械、开关、断路器、矿山、实行多班制的纺织行业的织布机、织带机、制线、制带、造纸、制革、薄膜、高压开关电器产品、试验设备,印刷设备、短路器、医疗、纺织、机械、仓库和码头的货运、行人及车辆过往的数量计数、冶金、食品、国防、包装、配料、石油、化工、发电、机床、仪表、自动化控制等行业。 1.2 本次设计的要求 1) 上电时,数码管显示为00。 2) 利用单片机来制作一个手动计数器,在单片机的管脚上接一个轻触开关,作为手动计数的按钮,用单片机的I/O口接数码管,作为计数器,进行加计数显示。 3) 计数器计数到99后,再按计数按钮,则数码管从00重新开始计数。 1.3 本次设计的目的 1) 学习基本理论在实践中综合运用的初步经验,掌握电路设计的基本方法、设计步骤,培养综合设计与调试能力。 2) 掌握汇编语言程序设计方法。 3) 培养实践技能,提高分析和解决实际问题的能力。

红外计数器的设计

红外计数器的设计 0引言 随着人们生活水平的不断提高,人们越来越追求人性化的事物,计数器是不可缺少的必需品。本文所设计的计数器采用红外线遮光方式,抗干扰性好,可靠性高,可用于测量宾馆、饭店、商场、超市、博物馆、展览观、车站、码头、银行等场所的人员数量及人员流通数量。该产品应用广泛,也可以测量流水线上的产品数量,以及可检查产品有无缺损。因此,研究计数器及扩大其应用,有着非常现实的意义。 1红外技术的介绍 红外技术的内容包含四个主要部分:红外辐射的性质,其中有受热物体所发射的辐射在光谱、强度和方向的分布;辐射在媒质中的传播特性--反射、折射、衍射和散射;热电效应和光电效应等。红外元件、部件的研制,包括辐射源、微型制冷器、红外窗口材料和滤光电等。把各种红外元件、部件构成系统的光学、电子学和精密机械的组成部分。红外技术在军事上和国民经济中有着广泛的应用。 红外技术有很多应用,例如在夜晚可以用看见物体的红外线来确定物体的位置;用一个红外线发射器和一个红外线接收器在生产上可以计算商品的数量;军事上可以用来防止敌人侵入,当红外线发射器和接收器被挡住,警报就会响;在医学上还可以查看人的病情等等。 红外线计数器分为对射式和反射式两种电路。对射式红外线是一个发射头和一个接收头在中间如有物件通过就遮挡一下光线,输出脉冲信号触发计数电路;反射式红外线是把发射头和接收头做在一块成为一个红外探头,当探头前有一个物件出现就把发射头的红外线反射给接收头,探头输出一个脉冲给计数器计数。 本文所设计的计数器是采用红外线遮光方式,利用红外对射管作计数传感器,当有物体通过时光被遮挡住,接收模块输出一个高电平脉冲,对此脉冲进行计数,就可实现对产品的统计。基于这种光电检测原理,配合组成集成电路,就可设计对射式红外线计数器。实践证明,该装置抗干扰能力强, 红外计数器的设计 Design of Infrared Counter 杨汉祥张琦 Yang Hanxiang Zhang Qi (赣南师范学院物理与电子信息学院,江西赣州341000) (School of Physics and Electronic Information Science,Gannan Teachers'College,Jiangxi Ganzhou341000) 摘要:计数器在人们日常生活中应用越来越多,已成为不可缺少的必需品。本文介绍了红外计数器的发展前景和现状,设计了一种由数字电路来实现的红外计数器,详细阐述了红外计数器的工作原理和构成,讨论了各模块的实现方法,并对它们进行了严格的理论逻辑推敲和实验测试,以达到设计要求。它可以解决计数中的增减问题,对任意流水线产品及来往客流自动计数。因此,研究红外计数器及扩大其应用,有着非常现实的意义。 关键词:自动计数;红外检测;8位数码管 中图分类号:TP368.4文献标识码:B文章编号:1671-4792-(2009)7-0187-04 Abstract:Counters are widely used in our daily life and gradually become a necessity.Here we introduce the current status and al-so the future of infrared counters,then present a design on top of digital circuit.And the working theory and construct are described and implementation of each module is discussed here.Strict logical reasoning and real experiments have approved each step is correct in the process which have fulfilled the design specifications.To summarize,infrared counters can solve increase/decrease problem in count-ing,such as automatic counting for industrial pipelining and traffic.Therefore,the study of infrared counter and expanding its applica-tions make very practical sense in above terms. Keywords:Automatic Counting;Infrared Detection;8-bit Digital Tube 187

简易计算器设计实验报告

简易计算器设计实验报告 一.设计任务及要求 1.1实验任务: 根据计算器的原理设计一个具有加减乘除功能的简易计算器。如:5+3*4/8=4。 1.2 实验基本要求: (1)实现最大输入两位十进制数字的四则运算(加减乘除)。 (2)能够实现多次连算(无优先级,从左到右计算结果)。 如:12+34*56-78/90+9=36 (3)最大长度以数码管最大个数为限,溢出报警。 二.实验设计方案 (1)用QuartusII的原理图输入来完成系统的顶层设计。 (2)用VHDL编写以及直接拖模块来各功能模块。 (3)通过2个脉冲分别实现个位数和十位数的输入。 (4)通过选择每次的输出数值,将输出值反馈到运算输入端 (4)通过除法运算实现十六进制到十进制的转换输出。 其具体实现流程图如下:

三系统硬件设计 FPGA: EP2C5T144C8目标板及相应外围硬件电路。(从略) 四系统软件设计 1.数据输入模块 原理:用VHDL创建模块,通过两个脉冲分别对两个数码管进行输入控制,再通过相应运算模块将两个独立数据转化成两位十进制数字。 2.运算模块 原理:用VHDL创建模块,四种运算同步运行,通过按键加、减、乘、除选择输出对应的计算结果,当按键等号来时,将所得结果反馈给运算模块输入端。具体实现代码见附录二。 3.输出模块 原理:用VHDL创建模块,通过按键等号来控制显示运算对象还是运算结果,当等号按下时,输出计算结果,否则显示当前输入的数据,并且通过除法模块将十六进制转化为十进制。当输出结果溢出是LED0亮,同时数码管显示都为零。部分实现见附录二。 五实验调试 输入数据12,再按加法键,输入第二个数字25,按等号键,数码管显示37;按灭加法、等号键,输入第二个数据2,依次按等号键,减法键,数码管显示35;同上,按灭减法键、等号键,输入第三个数据7,依次按等号键,除法键,数码管显示5;按灭除法键、等号键,输入第四个数据99,依次按等号键,乘法键,数码管显示495,按灭乘法键、等号键,当前显示为99,依次按等号键、乘法键,数码管显示49005,同上进行若干次之后,结果溢出,LED0亮,同时数码管显示都为零。当输出为负数时,LED0灯变亮,同时数码管显示都为零。六实验结论 本实验基本实现了计算器的加减乘法运算功能,但是存在一个突出的缺陷,就是当输出结果时,必须先按等号键导通数据反馈,再按运算键选择输出结果。这与实际应用的计算器存在很大的差距。但是,本设计可以通过等号键实现运算对象和运算结果之间的切换。

一种多功能计数器的设计

一种多功能计数器的设计 摘要:计数器在我们的日常生活中用得非常普遍,在计算机和数字化设备中更是无处不在。自动化生产流水线上对产品的计数更为重要,但一般计数器专用性强,一种计数器只能对某一种材料或特性的产品进行计数,在一定程度上限制了它的计数对象。鉴于此,本设计制作一个能对不同材料的产品进行计数的多功能计数器,扩大一般计数器的应用范围。 关键词:产品;传感器;计数器 abstract:counter is widely used in our life, especially in computer and digital equipment. but with the problem of material and property of products on pipelining, it is restricted badly on this tache. one counter may barely count the products of same material, though broad usage, its simplex function at a certain extent restrict its using in depth. considering the disadvantage of counter, the objective of this design is definite, that is we can make a multifunctional counter, which enlarge its scope of application. key words: product; sensor; counter 1 引言 计数器在生产实践中的广泛应用大家有目共睹,计数器是数字化设备的基石,少了计数器,大大影响其功能。但就计数器本身而言,

计数器电路

实验报告 课程名称: 电路与电子技术实验II 指导老师: 周箭 成绩:__________________ 实验名称: 计数器电路 实验类型: 同组学生姓名: 一、 引脚图: 引脚接入分布:16脚:高电平(5V )8脚:GND 。CP 接实验箱中1HZ 脉冲。CT T ,?LD,CT P ,?CR 引脚都接为高电平+5V 。14~11引脚依次接到一路译码电路的A 、B 、C 、D 。 1、测试集成计数器74161的功能 在复位信号为低电平时实现异步复位(清零)功能,即复位不需要时钟信号。在复位端高电平条件下,预置端为低电平时实现同步预置功能,即需要有效时钟信号才能使输出状态。等于并行输入预置数A B C D 。在复位和预置端都为无效电平时,两计数使能端输入使能信号,74LS161实现模16加法计数功能。两计数使能端输入禁止信号,集成计数器实现状态保持功能。 测试记录如下:

与74161的功能表一致 先说明一下反馈清零法: 模为M 的单片集成计数器,若无任何控制,其状态转换图为: 若实现模为N (N < M),则应调整状态转换图。实现方案: 正常计数时,清零端无效;计数至某个数据时,清零端有效,计数器清零; 然后,清零端(自动)恢复为无效,计数器从零开始重新计数。 二、六进制计数器 设计思路: 当74HC161的输出端输出6时,利用其优先级最高的异步清零端将输出立刻置为零即可,原理图如下:(需要一片74161和74ls00与非门)

三、二十四进制数字钟 在上一个实验所设计的十进制数字钟的基础上,加上一片74HC161,设计二十四进制数字钟。 电路图如下 共需用到3个与非门,一个与门,和2片74LS00完成 CP脉冲一开始设置为1Hz。实验结果用译码电路来显示,分别将两只74161的A,B,C,D接到两个译码电路的DA,DB,DC,DD上,接通电源后可以清晰看见译码器在0-23之间不断切换。切换CP脉冲的频率为32Hz,能更加清晰地看见计数器的变化(1Hz的数字显示跳动过快不易看清)。 但在实验中,我们发现了一个有趣的问题,就是当CP脉冲为32HZ时,这个电路的自启动过程有时候会

实验二 简易计数器设计

实验二简易计数器设计 实验目的:掌握基本的VHDL语法,能够使用VHDL输入方式,设计实现简单的组合逻辑电路。 实验内容:设计实现个位数的加减乘除计算模块,实现4-8段数码管编码模块,利用两个模块实现计算器。 原理图:如下图所示 仿真结果及结果分析: 如下两张仿真结果图所示,A、B为两个个位的数,表示的范围都是0—7;S 表示A和B两个数进行运算时中间的运算符,即当S为0表示做加法运算、当S 为1表示做减法运算、当S为2表示做乘法运算、当S为3表示做除法运算;Y1和Y0表示A与B运算所得的结果用16进表示,做完后的仿真结果即为对应的七段显示译码器上显示的值,Y1是高位,Y0是低位和除法中的余数,当Y的数值为10则表示负号。

管脚锁定说明: 硬件测试情况说明及结果分析: 根据原理图上的芯片引脚功能按照设好的引脚用线连接好电路,A、B、S应该接到八个开关上控制A、B两个数的运算。开关L3、L2、L1分别对应引脚58、59、60,表示的为数A所表示的二进制数;开关L6、L5、L4分别对应引脚53、54、65,表示的为数B所表示的二进制数;S1、S0对应引脚为51、52,表示的为A 与B之间的运算符,00则为加法、01则为减法、10为乘法、11为除法;而Y0[0]—Y0[6]即输出低位对应七段显示译码器A—G,对应引脚分别为142、141、138、137、136、135、133,而Y1[0]—Y1[6]即输出的高位对应七段显示译码器A—G,

对应引脚分别为10、7、4、3、2、1、144,然后通过八个开关键来操作A、B两数的加减乘除情况,观察七段显示译码器上的结果是否正确,实验完成后可知所做实验符合预期结果的要求,实验成功。 cal代码: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY cal IS PORT( a,b:in integer range 7 downto 0; s :in STD_LOGIC_VECTOR (1 downto 0); y1,y0:out integer range 10 downto 0); END cal; ARCHITECTURE archcal OF cal IS BEGIN ca14_1:process(a,b) variable t:integer; begin if s="00" then t:=(a+b); elsif s="01" then t:=(a-b); elsif s="10" then t:=(a*b); else t:=(a/b); end if; if (t>=0) then y1<=(t/10);y0<=(t mod 10); else y1<=10;y0<=(-t); end if; end process ca14_1; END ARCHITECTURE archcal; seg7代码: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY seg7 IS PORT( a:in STD_LOGIC_VECTOR (3 downto 0); y:out STD_LOGIC_VECTOR (6 downto 0) ); END seg7;

相关文档