文档库 最新最全的文档下载
当前位置:文档库 › 集成电路设计实训

集成电路设计实训

集成电路设计实训
集成电路设计实训

研究生课程开设申请表

开课院(系、所):集成电路学院

课程申请开设类型:新开V 重开口更名□(请在□内打勾,下同)

、课程介绍(含教学目标、教学要求等)(字以内)

本课程将向学生提供集成电路设计的理论与实例相结合的培养训练,讲述包括电路设

计与仿真、版图设计和验证以及寄生参数提取的完整全定制集成电路设计流程以及

CADENCE与IC制造厂商的工艺库配合等内容。通过系统的理论学习与上机实践,学生可掌握集成电路设计流程以及各阶段所使用的工具,并能进行集成电路的设计工作。

掌握资料查询、文献检索及运用现代信息技术获取相关信息的基本方法;培养学生具

有一定的设计,归纳、整理、分析设计结果,撰写论文,参与学术交流的能力。

指导学生学会如何利用现代的EDA工具设计集成电路,培养学生的工程设计意识,启发学生的创新思想。

全面了解集成电路设计、制造、封装、测试的完整芯片制成技术,提高综合运用微电

子技术知识的能力和实践能力。

、教学大纲(含章节目录):(可附页)

第一章cade nee集成电路设计软件介绍

第二章偏置电路设计

第三章基本运放和高性能运放

第四章比较器、振荡器设计

第五章电源系统设计(LDO与DC-DC)

四、主讲教师简介:

常昌远,男,1961年10月出生,2000年东南大学微电子专业博士毕业,现为东南大

学副教授,硕士研究生导师。长期从事微电子和自动控制领域内的教学、科研和指导研究

生工作。参加过国家自然科学基金重点项目的研究、并主持与IC设计企业合作的多项横向研究课题。近年来主要从事显示控制芯片和电源管理芯片DC-DC、LDO等产品的开发,在CMOS数字集成电路、模拟集成电路的分析、设计与研发、系统的建模和稳定性设计等方面积累了较丰富的实际工作经验。教学方面,主讲包括与研究方向有关的半导体功率器

件” 自动控制原理” CMOS模拟集成电路设计等课程。已在国内核心刊物上发表学术论

文20余篇,获国家专利1项。目前在东南大学IC学院负责集成电路设计与MPW项目建

设等工作。

吴金,教授,男,65年3月出生,1997年和1001年分别获得东南大学微电子学工学博士和硕士学位。长期从事半导体器件、电路与系统方面的教学和科研工作。当前从事的主要研究领域为CMOS Analog模拟、数模混合集成电路技术开,在核心学术期刊和会议上发表论文50余篇。

五、任课教师信息(包括主讲教师):

Applicatio n Form For Opening Graduate Courses

School (Department/lnstitute): College of Integrated Circuits

Course Type: New Open □Reopen □Rename □ ( Please tick in □ , the same beloW

I. Course In troducti on (in cludi ng teach ing goals and requireme nts) withi n 300 words:

This course will provide stude nts with trai ning that comb in ates the theory of in tegrated circuit design with examples, including circuits design and simulation, layout design and verification and parasitic extraction of a complete full-custom IC design flow, and how to use process library in Cade nee and so on. At the con clusi on of the course, the stude nt should be able to successfully master IC desig n flow and EDA software, and desig n IC in an in dustrial en vir onment.

Master data in formati on, docume nt retrieval and use of moder n in formatio n tech no logy to obtain information; students can design circuits, induce, collate, analyze results, write papers to participate in academic excha nges.

Advise stude nts on the use of moder n in tegrated circuit desig n EDA tools, develop stude nts aware ness of the engin eeri ng desig n, in spire stude nts with the inno vative ideas.

A comprehe nsive un dersta nding of IC desig n, manu facturi ng, packagi ng, testi ng of the chip to improve the comprehe nsive use of microelectr onic tech no logy kno wledge and practical ability.

II. Teachi ng Syllabus (in cludi ng the content of chapters and sect ions. A sheet can be attached):

Chapter 1 In troducti on of Cade nee

Chapter 2 Desig n of Bias circuit

Chapter 3 basic Operatio nal amplifiers and high performa nee Operati onal amplifiers

Chapter 4 Comparators and Oscillators desig n

Chapter 5 Power system desig n ( LDO and DC-DC)

III. Teaching Schedule:

Chin ese website of Graduate School. The four and five items are preserved in Graduate School.

2. Course terms: Spring, Autumn , and Spring-Autumn term.

3. The teach ing Ian guages for courses: Chin ese, En glish or Chin ese-E nglish.

4. Applicable range of discipli ne: public, first-class discipli ne, sec on d-class discipli ne, and third-class discipli ne.

5. Practice in cludes: experime nt, i nvestigati on, research report, etc.

6. Teach ing methods: lecture, sem inar, practice, etc.

7. Exam in ati on for degree courses must be in paper.

8. Teach ing material websites are those which have already bee n announ ced.

9. Brief introduction of chief lecturer should include: personal information (date of birth, gender, degree achieved,

professi onal title), research directi on, teach ing and research achieveme nts. (within 100-500 words)

IV. Brief Introduction of Chief lecturer:

Changyuan Chang, male, was burn on October, 1961. He got the Doctor's degree of

Microelectr onics in 2000 from Southeast Uni versity, and is associate professor and Supervisor of Master can didate now. He has long bee n en gaged in teachi ng、study ing in the field of microelectronics and automatic control. He has been involved in some project of National

Natural Science Foun dati on and many joi nt projects with IC compa ni es. In rece nt years, he mai nly study the display con trol chip and power man ageme nt chip, such as DC-DC and LDO, and accumulated many experience of practical work in CMOS digital and analog integrated circuit design, system modeling and stability studying. His main courses are Automatic Control Theory CMOS Analog Circuit Desig n, and so on. He has published more tha n 20 papers in Domestic core publicatio ns and has a n atio nal pate nt. He is resp on sible for the project con struct ion work in the School of IC now, such as IC desig n and MPW.

Prof. Wu Jin, male, was born in Mar.1965. He received the Ph. D and MS degrees in Microelectronics from Southeast University in 1997 and 1991. He was engaged in teaching, science research and supervision of graduate students in the area of device circuits and system in Southeast Un iversity. At prese nt, he emphases his research ing in CMOS An alog, Mix-Sig nal IC Design, and over 50 technical papers have been published in major academic journals and con fere nces.

清华大学2012年集成电路设计实践课程课件

集成电路设计实践
李福乐 lifule@https://www.wendangku.net/doc/8f2742981.html, @ g 清华大学微电子学研究所 助教: 李玮韬 王少鹏 liwt07@https://www.wendangku.net/doc/8f2742981.html, wspeng511799@https://www.wendangku.net/doc/8f2742981.html,
1

集成电路设计实践
课程简介 设计题目与实例 集成电路的制作与设计流程 可测性设计注意事项 芯片规格及封装 基础知识
版图的基本概念 版 的基本概念 CMOS工艺中的元件 版图设计规则 版图设计准则
设计工具的使用(实验课)
2

一、课程简介 一 课程简介
基本情况 学分: 学分:2 时间:春季学期(部分)+秋季学期(部分) 内容: 内容 电路设计、版图设计、芯片加工、样片 封装、样片测试、总结报告。 封装 样片测试 总结报告
3

一、课程简介(续) 、课程简介(续)
课程特点: 课程特点
完整的IC设计流程训练 重点在物理层和后端设计
工艺 集成元件 版图 芯片测试
实践为主, 工作量大 测试结果最重要
4

一、课程简介(续) 、课程简介(续)
安排: 1. 前期:设计题目选择、设计方案、电路 1 前期 设计题目选择 设计方案 电路 设计和仿真、版图设计 2. 中期:芯片加工、整理设计文档。 2 中期 芯片加工 整理设计文档 3. 后期(秋季学期):样片测试、总结报 告、答辩。
5

一、课程简介(续) 、课程简介(续)
第1~9周 第1 9周 教师向学生提供设计规则、版图要求、报告 格式要求;介绍必要的版图知识、设计方法 格式要求 介绍必要的版图知识 设计方法 及工具;有关测试、封装及注意事项;设计 题目介绍等。 2.学生选题与分组 3. 完成可测性电路设计方案及版图设计总体方 案(包括关键电路的处理、管脚安排、PAD 要求、测试点、测试方法等) 第六周与老师讨论前端设计,通过后方可进 第六周与老师讨论前端设计 通过后方可进 行版图设计!
1.
6

数字IC设计经典笔试题

数字IC设计经典笔试题 张戎王舵蒋鹏程王福生袁波 摘要 本文搜集了近年来数字IC设计公司的经典笔试题目,内容涵盖FPGA、V erilogHDL编程和IC设计基础知识。 Abstract This article includes some classical tests which have been introduced into interview by companies in digital IC designing in recent years. These tests are varied from FPGA,verlog HDL to base knowledge in IC designing. 关键词 FPGA VerilogHDL IC设计 引言 近年来,国内的IC设计公司逐渐增多,IC公司对人才的要求也不断提高,不仅反映在对相关项目经验的要求,更体现在专业笔试题目难度的增加和广度的延伸。为参加数字IC 设计公司的笔试做准备,我们需要提前熟悉那些在笔试中出现的经典题目。 IC设计基础 1:什么是同步逻辑和异步逻辑? 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 同步时序逻辑电路的特点:各触发器的时钟端全部连接在一起,并接在系统时钟端,只有当时钟脉冲到来时,电路的状态才能改变。改变后的状态将一直保持到下一个时钟脉冲的到来,此时无论外部输入 x 有无变化,状态表中的每个状态都是稳定的。 异步时序逻辑电路的特点:电路中除可以使用带时钟的触发器外,还可以使用不带时钟的触发器和延迟元件作为存储元件,电路中没有统一的时钟,电路状态的改变由外部输入的变化直接引起。 2:同步电路和异步电路的区别: 同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。 异步电路:电路没有统一的时钟,有些触发器的时钟输入端与时钟脉冲源相连,只有这些触发器的状态变化与时钟脉冲同步,而其他的触发器的状态变化不与时钟脉冲同步。 3:时序设计的实质: 时序设计的实质就是满足每一个触发器的建立/保持时间的要求。 4:建立时间与保持时间的概念?

集成电路设计实验报告

集成电路设计 实验报告 时间:2011年12月

实验一原理图设计 一、实验目的 1.学会使用Unix操作系统 2.学会使用CADENCE的SCHEMA TIC COMPOSOR软件 二:实验内容 使用schematic软件,设计出D触发器,设置好参数。 二、实验步骤 1、在桌面上点击Xstart图标 2、在User name:一栏中填入用户名,在Host:中填入IP地址,在Password:一栏中填入 用户密码,在protocol:中选择telnet类型 3、点击菜单上的Run!,即可进入该用户unix界面 4、系统中用户名为“test9”,密码为test123456 5、在命令行中(提示符后,如:test22>)键入以下命令 icfb&↙(回车键),其中& 表示后台工作,调出Cadence软件。 出现的主窗口所示: 6、建立库(library):窗口分Library和Technology File两部分。Library部分有Name和Directory 两项,分别输入要建立的Library的名称和路径。如果只建立进行SPICE模拟的线路图,Technology部分选择Don’t need a techfile选项。如果在库中要创立掩模版或其它的物理数据(即要建立除了schematic外的一些view),则须选择Compile a new techfile(建立新的techfile)或Attach to an existing techfile(使用原有的techfile)。 7、建立单元文件(cell):在Library Name中选择存放新文件的库,在Cell Name中输 入名称,然后在Tool选项中选择Composer-Schematic工具(进行SPICE模拟),在View Name中就会自动填上相应的View Name—schematic。当然在Tool工具中还有很多别的

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固和加深在课程教学中所学到的知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为今后从事生产和科研工作打下一定的基础。为毕业设计和今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试和维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路图。 1.总体方案的选择 设计电路的第一步就是选择总体方案。所谓总体方案是根据所提出的任务、要求和性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求和技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求和条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性和优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求和已选定的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电路结构、降低成本。

数字IC设计笔试面试经典100题

1:什么是同步逻辑和异步逻辑?(汉王) 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 同步时序逻辑电路的特点:各触发器的时钟端全部连接在一起,并接在系统时钟端,只有当时钟脉冲到来时,电路的状态才能改变。改变后的状态将一直保持到下一个时钟脉冲的到来,此时无论外部输入x 有无变化,状态表中的每个状态都是稳定的。 异步时序逻辑电路的特点:电路中除可以使用带时钟的触发器外,还可以使用不带时钟的触发器和延迟元件作为存储元件,电路中没有统一的时钟,电路状态的改变由外部输入的变化直接引起。 2:同步电路和异步电路的区别: 同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。 异步电路:电路没有统一的时钟,有些触发器的时钟输入端与时钟脉冲源相连,只有这些触发器的状态变化与时钟脉冲同步,而其他的触发器的状态变化不与时钟脉冲同步。 3:时序设计的实质: 时序设计的实质就是满足每一个触发器的建立/保持时间的要求。 4:建立时间与保持时间的概念? 建立时间:触发器在时钟上升沿到来之前,其数据输入端的数据必须保持不变的最小时间。保持时间:触发器在时钟上升沿到来之后,其数据输入端的数据必须保持不变的最小时间。 5:为什么触发器要满足建立时间和保持时间? 因为触发器内部数据的形成是需要一定的时间的,如果不满足建立和保持时间,触发器将进入亚稳态,进入亚稳态后触发器的输出将不稳定,在0和1之间变化,这时需要经过一个恢复时间,其输出才能稳定,但稳定后的值并不一定是你的输入值。这就是为什么要用两级触发器来同步异步输入信号。这样做可以防止由于异步输入信号对于本级时钟可能不满足建立保持时间而使本级触发器产生的亚稳态传播到后面逻辑中,导致亚稳态的传播。 (比较容易理解的方式)换个方式理解:需要建立时间是因为触发器的D端像一个锁存器在接受数据,为了稳定的设置前级门的状态需要一段稳定时间;需要保持时间是因为在时钟沿到来之后,触发器要通过反馈来锁存状态,从后级门传到前级门需要时间。 6:什么是亚稳态?为什么两级触发器可以防止亚稳态传播? 这也是一个异步电路同步化的问题。亚稳态是指触发器无法在某个规定的时间段内到达一个可以确认的状态。使用两级触发器来使异步电路同步化的电路其实叫做“一位同步器”,他只能用来对一位异步信号进行同步。两级触发器可防止亚稳态传播的原理:假设第一级触发器的输入不满足其建立保持时间,它在第一个脉冲沿到来后输出的数据就为亚稳态,那么在下一个脉冲沿到来之前,其输出的亚稳态数据在一段恢复时间后必须稳定下来,而且稳定的数据必须满足第二级触发器的建立时间,如果都满足了,在下一个脉冲沿到来时,第二级触发器将不会出现亚稳态,因为其输入端的数据满足其建立保持时间。同步器有效的条件:第一级触发器进入亚稳态后的恢复时间+ 第二级触发器的建立时间< = 时钟周期。

集成电路设计实训

研究生课程开设申请表 开课院(系、所):集成电路学院 课程申请开设类型:新开√重开□更名□(请在□内打勾,下同)

一、课程介绍(含教学目标、教学要求等)(300字以内) 本课程将向学生提供集成电路设计的理论与实例相结合的培养训练,讲述包括电路设计与仿真、版图设计和验证以及寄生参数提取的完整全定制集成电路设计流程以及CADENCE与IC制造厂商的工艺库配合等内容。通过系统的理论学习与上机实践,学生可掌握集成电路设计流程以及各阶段所使用的工具,并能进行集成电路的设计工作。 掌握资料查询、文献检索及运用现代信息技术获取相关信息的基本方法;培养学生具有一定的设计,归纳、整理、分析设计结果,撰写论文,参与学术交流的能力。 指导学生学会如何利用现代的EDA工具设计集成电路,培养学生的工程设计意识,启发学生的创新思想。 全面了解集成电路设计、制造、封装、测试的完整芯片制成技术,提高综合运用微电子技术知识的能力和实践能力。 二、教学大纲(含章节目录):(可附页) 第一章cadence集成电路设计软件介绍 第二章偏置电路设计 第三章基本运放和高性能运放 第四章比较器、振荡器设计 第五章电源系统设计(LDO与DC-DC) 三、教学周历

四、主讲教师简介: 常昌远,男,1961年10月出生,2000年东南大学微电子专业博士毕业,现为东南大学副教授,硕士研究生导师。长期从事微电子和自动控制领域内的教学、科研和指导研究生工作。参加过国家自然科学基金重点项目的研究、并主持与IC设计企业合作的多项横向研究课题。近年来主要从事显示控制芯片和电源管理芯片DC-DC、LDO等产品的开发,在CMOS数字集成电路、模拟集成电路的分析、设计与研发、系统的建模和稳定性设计等方面积累了较丰富的实际工作经验。教学方面,主讲包括与研究方向有关的“半导体功率器件”,“自动控制原理”,CMOS模拟集成电路设计等课程。已在国内核心刊物上发表学术论文20余篇,获国家专利1项。目前在东南大学IC学院负责集成电路设计与MPW项目建

集成电路设计基础复习

1、解释基本概念:集成电路,集成度,特征尺寸 参考答案: A、集成电路(IC:integrated circuit)是指通过一系列特定的加工工艺,将晶体管、二极管等有源器件和电阻、电容等无源器件,按照一定的电路互连,“集成”在一块半导体晶片(如硅或砷化镓)上,封装在一个外壳内,执行特定电路或系统功能的集成块。 B、集成度是指在每个芯片中包含的元器件的数目。 C、特征尺寸是代表工艺光刻条件所能达到的最小栅长(L)尺寸。 2、写出下列英文缩写的全称:IC,MOS,VLSI,SOC,DRC,ERC,LVS,LPE 参考答案: IC:integrated circuit;MOS:metal oxide semiconductor;VLSI:very large scale integration;SOC:system on chip;DRC:design rule check;ERC:electrical rule check;LVS:layout versus schematic;LPE:layout parameter extraction 3、试述集成电路的几种主要分类方法 参考答案: 集成电路的分类方法大致有五种:器件结构类型、集成规模、使用的基片材料、电路功能以及应用领域。根据器件的结构类型,通常将其分为双极集成电路、MOS集成电路和Bi-MOS 集成电路。按集成规模可分为:小规模集成电路、中规模集成电路、大规模集成电路、超大规模集成电路、特大规模集成电路和巨大规模集成电路。按基片结构形式,可分为单片集成电路和混合集成电路两大类。按电路的功能将其分为数字集成电路、模拟集成电路和数模混合集成电路。按应用领域划分,集成电路又可分为标准通用集成电路和专用集成电路。 4、试述“自顶向下”集成电路设计步骤。 参考答案: “自顶向下”的设计步骤中,设计者首先需要进行行为设计以确定芯片的功能;其次进行结构设计;接着是把各子单元转换成逻辑图或电路图;最后将电路图转换成版图,并经各种验证后以标准版图数据格式输出。 5、比较标准单元法和门阵列法的差异。 参考答案:

集成电路设计方法的发展历史

集成电路设计方法的发展历史 、发展现状、及未来主流设 计方法报告 集成电路是一种微型电子器件或部件,为杰克·基尔比发明,它采用一定的工艺,把一个电路中所需的晶体管、二极管、电阻、电容和电感等元件及布线互连一起,制作在一小块或几小块半导体晶片或介质基片上,然后封装在一个管壳内,成为具有所需电路功能的微型结构;其中所有元件在结构上已组成一个整体,使电子元件向着微小型化、低功耗和高可靠性方面迈进了一大步。集成电路具有体积小,重量轻,引出线和焊接点少,寿命长,可靠性高,性能好等优点,同时成本低,便于大规模生产。它不仅在工、民用电子设备如收录机、电视机、计算机等方面得到广泛的应用,同时在军事、通讯、遥控等方面也得到广泛的应用。用集成电路来装配电子设备,其装配密度比晶体管可提高几十倍至几千倍,设备的稳定工作时间也可大大提高。 一、集成电路的发展历史: 1947年:贝尔实验室肖克莱等人发明了晶体管,这是微电子技术发展中第一个里程碑; 1950年:结型晶体管诞生; 1950年: R Ohl和肖特莱发明了离子注入工艺; 1951

年:场效应晶体管发明; 1956年:C S Fuller发明了扩散工艺; 1958年:仙童公司Robert Noyce与德仪公司基尔比间隔数月分别发明了集成电路,开创了世界微电子学的历史; 1960年:H H Loor和E Castellani发明了光刻工艺;1962年:美国RCA公司研制出MOS场效应晶体管; 1963年:和首次提出CMOS技术,今天,95%以上的集成电路芯片都是基于CMOS工艺; 1964年:Intel摩尔提出摩尔定律,预测晶体管集成度将会每18个月增加1倍; 1966年:美国RCA公司研制出CMOS集成电路,并研制出第一块门阵列; 1967年:应用材料公司成立,现已成为全球最大的半导体设备制造公司; 1971年:Intel推出1kb动态随机存储器,标志着大规模集成电路出现; 1971年:全球第一个微处理器4004Intel公司推出,采用的是MOS工艺,这是一个里程碑式的发明; 1974年:RCA公司推出第一个CMOS微处理器1802; 1976年:16kb DRAM和4kb SRAM问世; 1978年:64kb动态随机存储器诞生,不足平方厘米的硅片上集成了14万个晶体管,标志着超大规模集成电路时

专用集成电路

实验一 EDA软件实验 一、实验目的: 1、掌握Xilinx ISE 9.2的VHDL输入方法、原理图文件输入和元件库的调用方法。 2、掌握Xilinx ISE 9.2软件元件的生成方法和调用方法、编译、功能仿真和时序仿真。 3、掌握Xilinx ISE 9.2原理图设计、管脚分配、综合与实现、数据流下载方法。 二、实验器材: 计算机、Quartus II软件或xilinx ISE 三、实验内容: 1、本实验以三线八线译码器(LS74138)为例,在Xilinx ISE 9.2软件平台上完成设计电 路的VHDL文本输入、语法检查、编译、仿真、管脚分配和编程下载等操作。下载芯片选择Xilinx公司的CoolRunner II系列XC2C256-7PQ208作为目标仿真芯片。 2、用1中所设计的的三线八线译码器(LS74138)生成一个LS74138元件,在Xilinx ISE 9.2软件原理图设计平台上完成LS74138元件的调用,用原理图的方法设计三线八线译 码器(LS74138),实现编译,仿真,管脚分配和编程下载等操作。 四、实验步骤: 1、三线八线译码器(LS 74138)VHDL电路设计 (1)三线八线译码器(LS74138)的VHDL源程序的输入 打开Xilinx ISE 6.2编程环境软件Project Navigator,执行“file”菜单中的【New Project】命令,为三线八线译码器(LS74138)建立设计项目。项目名称【Project Name】为“Shiyan”,工程建立路径为“C:\Xilinx\bin\Shiyan1”,其中“顶层模块类型(Top-Level Module Type)”为硬件描述语言(HDL),如图1所示。 图1 点击【下一步】,弹出【Select the Device and Design Flow for the Project】对话框,在该对话框内进行硬件芯片选择与工程设计工具配置过程。

数字电路教案-课题二 寄存器(2课时)

理论课授课教案

教学过程和内容 时间分配 与教法1.由D触发器构成的数码寄存器 (1)电路组成 图5-1 单拍工作方式的数码寄存器 CP:接收脉冲(控制信号输入端) (2)工作原理 当CP↑时,触发器更新状态,Q3Q2Q1Q0=D3D2D1D0,即接收输入 数码并保存。 单拍工作方式:不需清除原有数据,只要CP↑一到达,新的数据就会存 入。 常用4D型触发器74LS175、6D型触发器74LS174、8D型触发器74LS374 或MSI器件等实现。 2.由D型锁存器构成的数码寄存器 (1)锁存器的工作原理 送数脉冲CP为锁存控制信号输入端,即使能信号(电平信号)。 ②当CP=1时,D数据输入不影响电路的状态,电路锁定原来的数据。 即当使能信号结束后(锁存),数据被锁住,输出状态保持不变。 二、移位寄存器 移位寄存器除了具有存储数码的功能外,还具有移位功能。 移位功能:寄存器中所存数据,可以在移位脉冲作用下逐位左移或右移。 在数字电路系统中,由于运算(如二进制的乘除法)的需要,常常要求 实现移位功能。

1.单向移位寄存器:是指仅具有左移功能或右移功能的移位寄存器。 教学过程和内容 时间分配 与教法1)右移位寄存器 ①电路组成 图5-4 4位右移位寄存器 串行输入 同步时序逻辑电路 ②工作过程(仿真运行图5-4电路。) 将数码1101右移串行输入给寄存器(串行输入是指逐位依次输入)。 在接收数码前,从输入端输入一个负脉冲把各触发器置为0状态(称为清零)。 ④时序图 CP顺序输入D SR输出 0 1 0 0 0 0 1 1 1 0 0 0 2 0 1 1 0 0 3 1 0 1 1 0 4 0 1 0 1 1 5 0 0 1 0 1 6 0 0 0 1 0 7 0 0 0 0 1 8 0 0 0 0 0

用7805 集成电路制作经典的电源电路

用7805 集成电路制作经典的电源电路2007-10-31 10:02 来源: 作者:网友评论 0 条浏览次数 2011 经典的电源电路(7805扩流) 上图为在非常流行的经典电路上做小许改动的电路图. 电路目的: 1)+24V 转换为+5V +/-5% 2)可提供+2A以上的电流. 主要元件: TIP32C (ST) L7805CV (ST) 图中的R62,在实际应用中已经更改为22 OHM. 功率元件TIP32C已经加散热片 包括: 1. 此电路的具体工作原理. 2. 此电路是否能达到预期的效果.

3. 存在何种问题. 4. 如果图中R62如果减小到诸如1 OHM或者3.3 OHM,会存在什么样的问题. 5. 其他. 相关文章: 集成稳压器的原理及应用 2007-04-10 22:02 集成稳压器是指将不稳定的直流电压变为稳定的直流电压的集成电路。由于集成稳压器具有稳压精度高、工作稳定可靠、外围电路简单、体积小、重量轻等显箸优点,在各种电源电路中得到了普遍的应用。 1、固定集成稳压器 集成稳压器是指将不稳定的直流电压变为稳定的直流电压的集成电路。由于集成稳压器具有稳压精度高、工作稳定可靠、外围电路简单、体积小、重量轻等显箸优点,在各种电源电路中得到了普遍的应用。常用的集成稳压器有:金属圆形封装、金属菱形封装、塑料封装、带散热板塑封、扁平式封装、双列直插式封装等。在电子制用中应用较多的是三端固定输出稳压器。 集成稳压器可分为串联调整式、并联调整式和开关式稳压器三大类。图2所示为应用最广泛的串联式集成稳压器内部电路方框图,其工作原理是:取样电路将输出电压Uo按比例取出,送入比较放大器与基准电压进行比较,差值被放大后去控制调整管,以使输出电压Uo保持稳定。 78XX系列集成稳压器是常用的固定正输出电压的集成稳压器,输出电压有5V、6V、9V、12V、15V、18V、24V等规格,最大输出电流为1.5A。它的内部含有限流保护、过热保护和过压保护电路,采用了噪声低、温度漂移小的基准电压源,工作稳定可靠。78XX系列集成稳压器为三端器件:1脚为输入端,2脚为接地端,3脚为输出端,使用十分方便。 78XX系列集成稳压器的典型应用电路如下图所示,这是一个输出正5V直流电压的稳压电源电路。IC采用集成稳压器7805,C1、C2分别为输入端和输出端滤波电容,RL为负载电阻。当输出电较大时,7805应配上散热板。

集成电路设计方法--复习提纲

集成电路设计方法--复习提纲 2、实际约束:设计最优化约束:建立时钟,输入延时,输出延时,最大面积 设计规则约束:最大扇出,最大电容 39.静态时序分析路径的定义 静态时序分析通过检查所有可能路径上的时序冲突来验证芯片设计的时序正确性。时序路径的起点是一个时序逻辑单元的时钟端,或者是整个电路的输入端口,时序路径的终点是下一个时序逻辑单元的数据输入端,或者是整个电路的输出端口。 40.什么叫原码、反码、补码? 原码:X为正数时,原码和X一样;X为负数时,原码是在X的符号位上写“1”反码:X为正数是,反码和原码一样;X为负数时,反码为原码各位取反 补码:X为正数时,补码和原码一样;X为负数时,补码在反码的末位加“1” 41.为什么说扩展补码的符号位不影响其值? SSSS SXXX = 1111 S XXX + 1 —— 2n2n12n1例如1XXX=11XXX,即为XXX-23=XXX+23-24. 乘法器主要解决什么问题? 1.提高运算速度2.符号位的处理 43.时钟网络有哪几类?各自优缺点? 1. H树型的时钟

网络: 优点:如果时钟负载在整个芯片内部都很均衡,那么H 树型时钟网络就没有系统时钟偏斜。缺点:不同分支上的叶节点之间可能会出现较大的随机偏差、漂移和抖动。 2. 网格型的时钟网络 优点:网格中任意两个相近节点之间的电阻很小,所以时钟偏差也很小。缺点:消耗大量的金属资源,产生很大的状态转换电容,所以功耗较大。 3.混合型时钟分布网络优点:可以提供更小的时钟偏斜,同时,受负载的影响比较小。缺点:网格的规模较大,对它的建模、自动生成可能会存在一些困难。 总线的传输机制? 1. 早期:脉冲式机制和握手式机制。 脉冲式机制:master发起一个请求之后,slave在规定的t时间内返回数据。 握手式机制:master发出一个请求之后,slave在返回数据的时候伴随着一个确认信号。这样子不管外设能不能在规定的t时间内返回数据,master都能得到想要的数据。 2. 随着CPU频率的提高,总线引入了wait的概念 如果slave能在t时间内返回数据,那么这时候不能把wait信号拉高,如果slave不能在t时间内返回数据,那么必须在t时间内将wait信号拉高,直到slave将可以返回

集成电路设计流程

集成电路设计流程 . 集成电路设计方法 . 数字集成电路设计流程 . 模拟集成电路设计流程 . 混合信号集成电路设计流程 . SoC芯片设计流程 State Key Lab of ASIC & Systems, Fudan University 集成电路设计流程 . 集成电路设计方法 . 数字集成电路设计流程 . 模拟集成电路设计流程 . 混合信号集成电路设计流程 . SoC芯片设计流程 State Key Lab of ASIC & Systems, Fudan University 正向设计与反向设计 State Key Lab of ASIC & Systems, Fudan University 自顶向下和自底向上设计 State Key Lab of ASIC & Systems, Fudan University Top-Down设计 –Top-Down流程在EDA工具支持下逐步成为 IC主要的设计方法 –从确定电路系统的性能指标开始,自系 统级、寄存器传输级、逻辑级直到物理 级逐级细化并逐级验证其功能和性能 State Key Lab of ASIC & Systems, Fudan University Top-Down设计关键技术 . 需要开发系统级模型及建立模型库,这些行 为模型与实现工艺无关,仅用于系统级和RTL 级模拟。 . 系统级功能验证技术。验证系统功能时不必 考虑电路的实现结构和实现方法,这是对付 设计复杂性日益增加的重要技术,目前系统 级DSP模拟商品化软件有Comdisco,Cossap等, 它们的通讯库、滤波器库等都是系统级模型 库成功的例子。 . 逻辑综合--是行为设计自动转换到逻辑结构 设计的重要步骤 State Key Lab of ASIC & Systems, Fudan University

专用集成电路AD的设计

A/D转换器的设计 一.实验目的: (1)设计一个简单的LDO稳压电路 (2)掌握Cadence ic平台下进行ASIC设计的步骤; (3)了解专用集成电路及其发展,掌握其设计流程; 二.A/D转换器的原理: A/D转换器是用来通过一定的电路将模拟量转变为数字量。 模拟量可以是电压、电流等电信号,也可以是压力、温度、湿度、位移、声音等非电信号。但在A/D转换前,输入到A/D转换器的输入信号必须经各种传感器把各种物理量转换成电压信号。符号框图如下: 数字输出量 常用的几种A/D器为; (1):逐次比较型 逐次比较型AD由一个比较器和DA转换器通过逐次比较逻辑构成,从MSB 开始,顺序地对每一位将输入电压与内置DA转换器输出进行比较,经n次比较而输出数字值。其电路规模属于中等。其优点是速度较高、功耗低,在低分辩率(<12位)时价格便宜,但高精度(>12位)时价格很高。 (2): 积分型 积分型AD工作原理是将输入电压转换成时间(脉冲宽度信号)或频率(脉冲频率),然后由定时器/计数器获得数字值。其优点是用简单电路就能获得高分辨率,但缺点是由于转换精度依赖于积分时间,因此转换速率极低。初期的单片AD转换器大多采用积分型,现在逐次比较型已逐步成为主流。 (3):并行比较型/串并行比较型

并行比较型AD采用多个比较器,仅作一次比较而实行转换,又称FLash(快速)型。由于转换速率极高,n位的转换需要2n-1个比较器,因此电路规模也极大,价格也高,只适用于视频AD转换器等速度特别高的领域。 串并行比较型AD结构上介于并行型和逐次比较型之间,最典型的是由2个n/2位的并行型AD转换器配合DA转换器组成,用两次比较实行转换,所以称为Half flash(半快速)型。还有分成三步或多步实现AD转换的叫做分级型AD,而从转换时序角度又可称为流水线型AD,现代的分级型AD中还加入了对多次转换结果作数字运算而修正特性等功能。这类AD速度比逐次比较型高,电路规模比并行型小。 一.A/D转换器的技术指标: (1)分辨率,指数字量的变化,一个最小量时模拟信号的变化量,定义为满刻度与2^n的比值。分辨率又称精度,通常以数字信号的位数来表示。 (2)转换速率,是指完成一次从模拟转换到数字的AD转换所需的时间的倒数。积分型AD的转换时间是毫秒级属低速AD,逐次比较型AD是微秒级,属中速AD,全并行/串并行型AD可达到纳秒级。采样时间则是另外一个概念,是指两次转换的间隔。为了保证转换的正确完成,采样速率必须小于或等于转换速率。因此有人习惯上将转换速率在数值上等同于采样速率也是可以接受的。常用单位ksps 和Msps,表示每秒采样千/百万次。 (3)量化误差,由于AD的有限分辩率而引起的误差,即有限分辩率AD的阶梯状转移特性曲线与无限分辩率AD(理想AD)的转移特性曲线(直线)之间的最大偏差。通常是1 个或半个最小数字量的模拟变化量,表示为1LSB、1/2LSB。(4)偏移误差,输入信号为零时输出信号不为零的值,可外接电位器调至最小。(5)满刻度误差,满度输出时对应的输入信号与理想输入信号值之差。 (6)线性度,实际转换器的转移函数与理想直线的最大偏移,不包括以上三种误差。 三、实验步骤 此次实验的A/D转换器用的为逐次比较型,原理图如下:

《数字电子技术基础》_阎石编著_数字电路教案

数字电路教案 本课程理论课学时数为70,实验24学时。各章学时分配见下表:

第一章逻辑代数基础 【本周学时分配】 本周5学时。周二1~2节,周四3~5节。 【教学目的与基本要求】 1、掌握二进制数、二—十进制数(主要是8421 BCD码) 2、熟练掌握逻辑代数的若干基本公式和常用公式。 3、熟练掌握逻辑函数的几种表达形式。 【教学重点与教学难点】 本周教学重点: 1、绪论:重点讲述数字电路的基本特点、应用状况和课程主要内容。 2、逻辑代数的基本运算:重点讲述各种运算的运算规则、符号和表达式。 3、逻辑代数的基本公式和常用公式:重点讲述逻辑代数的基本公式与普通代数公式的区别,常用公式的应用背景。 4、逻辑函数的表示方法:重点讲述各种表示方法的特点和相互转换方法。 本周教学难点: 反演定理和对偶定理:注意两者之间的区别、应用背景和变换时应注意的问题。【教学内容与时间安排】 一、绪论(约0.5学时) 1、电子电路的分类。 2、数字电路的基本特点。 3、数字电路的基本应用。 4、本课程的主要内容; 5、本课程的学习方法和对学生的基本要求。 二、数制与码制(约1.5学时)(若前置课程已学,可作简单复习0.5学时) 1、几种不同进制(二、八、十、十六进制)。 2、几种不同进制相互转换。 3、码制(BCD码)。 三、逻辑代数 1、基本逻辑运算和复合逻辑运算:与、或、非运算是逻辑代数的基本运算;还可以形成其他复合运算,常用的是与非、或非、与或非、异或、同或运算。(约0.5学时) 2、常用公式(18个)(约0.5学时) 3、基本定理(代入定理、反演定理、对偶定理)(约0.5学时) 4、逻辑函数的概念及表示方法(约0.5学时) 5、逻辑函数各种表示方法间的转换:常用的转换包括:函数式←→真值表;函数式←→逻辑图(约1学时)

集成电路的设计方法探讨

集成电路的设计方法探讨 摘要:21世纪,信息化社会到来,时代的进步和发展离不开电子产品的不断进步,微电子技术对于各行各业的发展起到了极大的推进作用。集成电路(integratedcircuit,IC)是一种重要的微型电子器件,在包括数码产品、互联网、交通等领域都有广泛的应用。介绍集成电路的发展背景和研究方向,并基于此初步探讨集成电路的设计方法。 关键词集成电路设计方法 1集成电路的基本概念 集成电路是将各种微电子原件如晶体管、二极管等组装在半导体晶体或介质基片上,然后封装在一个管壳内,使之具备特定的电路功能。集成电路的组成分类:划分集成电路种类的方法有很多,目前最常规的分类方法是依据电路的种类,分成模拟集成电路、数字集成电路和混合信号集成电路。模拟信号有收音机的音频信号,模拟集成电路就是产生、放大并处理这类信号。与之相类似的,数字集成电路就是产生、放大和处理各种数字信号,例如DVD重放的音视频信号。此外,集成电路还可以按导电类型(双极型集成电路和单极型集成电路)分类;按照应用领域(标准通用集成电路和专用集成电路)分类。集成电路的功能作用:集成电路具有微型化、低能耗、寿命长等特点。主要优势在于:集成电路的体积和质量小;将各种元器件集中在一起不仅减少了外界电信号的干扰,而且提高了运行

速度和产品性能;应用方便,现在已经有各种功能的集成电路。基于这些优异的特性,集成电路已经广泛运用在智能手机、电视机、电脑等数码产品,还有军事、通讯、模拟系统等众多领域。 2集成电路的发展 集成电路的起源及发展历史:众所周知,微电子技术的开端在1947年晶体管的发明,11年后,世界上第一块集成电路在美国德州仪器公司组装完成,自此之后相关的技术(如结型晶体管、场效应管、注入工艺)不断发展,逐渐形成集成电路产业。美国在这一领域一直处于世界领先地位,代表公司有英特尔公司、仙童公司、德州仪器等大家耳熟能详的企业。集成电路的发展进程:我国集成电路产业诞生于六十年代,当时主要是以计算机和军工配套为目标,发展国防力量。在上世纪90年代,我国就开始大力发展集成电路产业,但由于起步晚、国外的技术垄断以及相关配套产业也比较落后,“中国芯”始终未能达到世界先进水平。现阶段我国工业生产所需的集成电路主要还是依靠进口,从2015年起我国集成电路进口额已经连续三年比原油还多,2017年的集成电路进口额超过7200亿元。因此,在2018年政府工作报告中把推动集成电路产业发展放在了五大突出产业中的首位,并且按照国家十三五规划,我国集成电路产业产值到2020年将会达到一万亿元。中国比较大型的集成电路设计制造公司有台积电、海思、中兴等,目前已在一些技术领域取得了不错的成就。集成电路的发展方向:提到集成电路的发展,就必须要说到摩尔定律:集成度每18个月翻一番。而现今正处在

模拟集成电路设计方案精粹

模拟集成电路设计精粹 模拟集成电路主要是指由电容、电阻、晶体管等组成的模拟电路集成在一起用来处理模拟信号的集成电路。有许多的模拟集成电路,如运算放大器、模拟乘法器、锁相环、电源管理芯片等。模拟集成电路的主要构成电路有:放大器、滤波器、反馈电路、基准源电路、开关电容电路等。模拟集成电路设计主要是通过有经验的设计师进行手动的电路调试,模拟而得到,与此相对应的数字集成电路设计大部分是通过使用硬件描述语言在EDA软件的控制下自动的综合产生。 模拟集成电路被广泛地应用在各种视听设备中。收录机、电视机、音响设备等,即使冠上了”数码设备”的好名声,却也离不开模拟集成电路。 实际上,模拟集成电路在应用上比数字集成电路复杂些。每个数字集成电路只要元器件良好,一般都能按预定的功能工作,即使电路工作不正常,检修起来也比较方便,1是1, 0是0,不含糊。模拟集成电路就不一样了,一般需要一定数量的外围元件配合它工作。那么,既然是”集成电路”,为什么不把外围元件都做进去呢这是因为集成电路制作工艺上的限制,也是为了让集成电路更多地适应于不同的应用电路。 对于模拟集成电路的参数、在线各管脚电压,家电维修人员是 很关注的,它们就是凭借这些判断故障的。对业余电子爱好者来说,只要

掌握常用的集成电路是做什么用的就行了,要用时去查找相关的资料。我从研究生开始接触模拟集成电路到现在有四年了,有读过“模拟芯片设计的四重境界”这篇文章,我现在应该处于菜鸟的境界。模拟电路设计和数字电路设计是有很大区别的,最基本的是模拟电路处理的是模拟信号,数字电路处理的数字信号。模拟信号在时间和值上是连续的,数字信号在时间和值上是离散的,基于这个特点,模拟电路设计在某些程度上比数字电路设计困难。模拟电路设计困难的具体原因如下: 1.模拟设计需要在速度、功耗、增益、精度、电源电压、噪声、面积等多种因素间进行折中,而数字设计只需在功耗、速度和面积三个因素间进行平衡。 2.模拟电路对噪声、串扰和其他干扰比数字电路敏感得多。 3.随着工艺尺寸的不断减小,电源电压的降低和器件的二级效应对模拟电路比数字电路的影响严重得多,给模拟设计带来了新的挑战。 4.版图对于模拟电路的影响远大于数字电路,同样的线路差的版图会导致芯片无法工作。 我的模拟集成电路设计学习之路是从拉扎维的模拟CMO集成电 路设计这本书开始,这本书在现在工作中还是会去查看,是模拟集 成电路设计的经典教材之一。我首先想谈的就是关于模拟电路设计的相关课程和教材建议。模拟电路设计跟做其他事情一样,首先要学会一些基本的准则、方法和知识点,而经典的模拟电路设计教材就是这些东西的融合体,razavi 的design of analog CMOS integrated circuits ,sansen 的analog design essentials ,

数字电路教案

皖西学院教案 学年第学期 课程名称数字电子技术 授课专业班级电气 授课教师张斌 职称副教授 教学单位机电学院 教研室

学期授课计划说明

单元教案

分教案

从集成度不同 数字集成电路可分为小规模、中规模、大规模、超大规模和甚大规模五类。 、数字集成电路的特点 )电路简单,便于大规模集成,批量生产 )可靠性、稳定性和精度高,抗干扰能力强 )体积小,通用性好,成本低. )具可编程性,可实现硬件设计软件化 )高速度低功耗 )加密性好 、数字电路的分析、设计与测试 ()数字电路的分析方法 数字电路的分析:根据电路确定电路输出与输入之间的逻辑关系。 分析工具:逻辑代数。 电路逻辑功能主要用真值表、功能表、逻辑表达式和波形图。 () 数字电路的设计方法 数字电路的设计:从给定的逻辑功能要求出发,选择适当的逻辑器件,设计出符合要求的逻辑电路。 设计方式:分为传统的设计方式和基于软件的设计方式。 模拟信号与数字信号 . 模拟信号 时间和数值均连续变化的电信号,如 正弦波、三角波等 、数字信号 在时间上和数值上均是离散、幅值只有和两种状态的信号。 数字电路和模拟电路:工作信号,研究的对象不同,分析、设计方法以及所用的数学工具也相应不同

教学内容纲要备注、模拟信号的数字表示 由于数字信号便于存储、分析和传输,通常都将模拟信号转换为数字信 号. →模数转换。 数字信号的描述方法 、二值数字逻辑和逻辑电平 二值数字逻辑:、数码表示数量时称二进制数,表示事物状态时称二值逻 辑。 表示方式:、在电路中用低、高电平表示、两种逻辑状态 、数字波形 数字波形是信号逻辑电平对时间的图形表示。 比特率每秒钟转输数据的位数 ()数字波形的两种类型:归零型和非归零型 ()周期性和非周期性 ()实际脉冲波形及主要参数 ()时序图表明各个数字信号时序关系的多重波形图。 课后作业

集成电路设计基础 课后答案

班级:通信二班姓名:赵庆超学号:20071201297 7,版图设计中整体布局有哪些注意事项? 答:1版图设计最基本满足版图设计准则,以提高电路的匹配性能,抗干扰性能和高频工作性能。 2 整体力求层次化设计,即按功能将版图划分为若干子单元,每个子单元又可能包含若干子单元,从最小的子单元进行设计,这些子单元又被调用完成较大单元的设计,这种方法大大减少了设计和修改的工作量,且结构严谨,层次清晰。 3 图形应尽量简洁,避免不必要的多边形,对连接在一起的同一层应尽量合并,这不仅可减小版图的数据存储量,而且版图一模了然。 4 在构思版图结构时,除要考虑版图所占的面积,输入和输出的合理分布,较小不必要的寄生效应外,还应力求版图与电路原理框图保持一致(必要时修改框图画法),并力求版图美观大方。 8,版图设计中元件布局布线方面有哪些注意事项? 答:1 各不同布线层的性能各不相同,晶体管等效电阻应大大高于布线电阻。高速电路,电荷的分配效应会引起很多问题。 2 随器件尺寸的减小,线宽和线间距也在减小,多层布线层之间的介质层也在变薄,这将大大增加布线电阻和分布电阻。 3 电源线和地线应尽可能的避免用扩散区和多晶硅布线,特别是通过

较大电流的那部分电源线和地线。因此集成电路的版图设计电源线和地线多采用梳状布线,避免交叉,或者用多层金属工艺,提高设计布线的灵活性。 4 禁止在一条铝布线的长信号霞平行走过另一条用多晶硅或者扩散区布线的长信号线。因为长距离平行布线的两条信号线之间存在着较大的分布电容,一条信号线会在另一条信号线上产生较大的噪声,使电路不能正常工作。、 5 压点离开芯片内部图形的距离不应少于20um,以避免芯片键和时,因应力而造成电路损坏。

MC34063芯片附送部分经典电路

都是来源于网络的治疗,整理整理,与大家分享学习,我想还是免费的好。 34063由于价格便宜,开关峰值电流达1.5A,电路简单且效率满足一般要求,所以得到广泛使用。 1. MC34063 DC/DC变换器控制电路简介: MC34063是一单片双极型线性集成电路,专用于直流-直流变换器控制部分。片内包含有温度补偿带隙基准源、一个占空比周期控制振荡器、驱动器和大电流输出开关,能输出1.5A的开关电流。它能使用最少的外接元件构成开关式升压变换器、降压式变换器和电源反向器。 特点: *能在3.0-40V的输入电压下工作 *短路电流限制 *低静态电流 *输出开关电流可达1.5A(无外接三极管) *输出电压可调 *工作振荡频率从100HZ到100KHZ 2.MC34063引脚图及原理框图 MC34063 电路原理 振荡器通过恒流源对外接在CT 管脚(3 脚)上的定时电容不断地充电和放电以产生振荡波形。充电和放电电流都是恒定的,振荡频率仅取决于外接定时电容的容量。与门的C 输入端在振荡器对外充电时为高电平,D 输入端在比较器的输入电平低于阈值电平时为高电平。当C 和D输入端都变成高电平时触发器被置为高电平,输出开关管导通;反之当振荡器在放电期间,C 输入端为低电平,触发器被复位,使得输出开关管处于关闭状态。 电流限制通过检测连接在VCC(即6脚)和7 脚之间采样电阻(Rsc)上的压降来完成,当检测到电阻上的电压降接近超过300 mV 时,电流限制电路开始工作,这时通过CT 管脚(3 脚) 对定

时电容进行快速充电以减少充电时间和输出开关管的导通时间,结果是使得输出开关管的关闭时间延长。 线性稳压电源效率低,所以通常不适合于大电流或输入、输出电压相差大的情况。开关电源的效率相对较高,而且效率不随输入电压的升高而降低,电源通常不需要大散热器,体积较小,因此在很多应用场合成为必然之选。开关电源按转换方式可分为斩波型、变换器型和电荷泵式,按开关方式可分为软开关和硬开关。 斩波型开关电源 斩波型开关电源按其拓扑结构通常可以分为3种:降压型(Buck)、升压型(Boost)、升降压型(Buck-boost)。降压型开关电源电路通常如图1所示。 图1中,T为开关管,L1为储能电感,C1为滤波电容,D1为续流二极管。当开关管导通时,电感被充磁,电感中的电流线性增加,电能转换为磁能存储在电感中。设电感的初始电流为iL0,则流过电感的电流与时间t的关系为: iLt= iL1+(Vi-Vo-Vs)t/L,Vs为T的导通电压。 当T关断时,L1通过D1续流,从而电感的电流线性减小,设电感的初始电流为iL1,则则流过电感的电流与时间t的关系: iLt="iL1-"(Vo+Vf)t/L,Vf为D1的正向饱和电压。 图1降压型开关电源基本电路 34063的特殊应用 ● 扩展输出电流的应用 DC/DC转换器34063开关管允许的峰值电流为1.5A,超过这个值可能会造成34063永久损坏。由于通过开关管的电流为梯形波,所以输出的平均电流和峰值电流间存在一个差值。如果使用较大的电感,这个差值就会比较小,这样输出的平均电流就可以做得比较大。例如,输入电压为9V,输出电压为3.3V,采用220μH的电感,输出平均电流达到900mA,峰值电流为1200mA。 单纯依赖34063内部的开关管实现比900mA更高的输出电流不是不可以做到,但可靠性会受影响。要想达到更大的输出电流,必须借助外加开关管。图2和图3是外接开关管降压电路和升压电路。 升压型达林顿及非达林顿接法

相关文档
相关文档 最新文档