文档库 最新最全的文档下载
当前位置:文档库 › 计算机组成原理课程设计(全)

计算机组成原理课程设计(全)

计算机组成原理课程设计(全)
计算机组成原理课程设计(全)

成绩评定表

学生姓名刘建成班级学号1103050115

专业计算机科学

与技术课程设计题目多寄存器减法\右移位\

输入输出等指令实验计

算机设计

组长签字:

成绩

日期2013 年6月21 日

课程设计任务书

学院信息学院专业计算机科学与技术

学生姓名刘建成班级学号 1103050115

课程设计题目多寄存器减法\右移位\输入输出等指令实验计算机设计

实践教学要求与任务:

利用EL-JY-II型计算机组成原理实验仪提供的硬件资源,通过设计(包括整机结构设计、指令设计、微程序设计、微指令设计、调试程序设计等)、组装、调试三个步骤完成一台微程序控制的复杂实验计算机的设计。具体要求如下:

1、掌握实验计算机的整机结构。熟悉实验计算机的组装和调试方法。

2、设计如下几条机器指令的格式,指令格式可以采用单字长或双字长设计。

算术减法运算指令:SUB rs,rd (功能rs - rd -> rd)

输入输出指令:IN #DATA,rd (功能DATA -> rd)

OUT Ri (功能Ri的值 -> LED输出)

转移指令: JMP ADDR (功能ADDR -> PC)

右移位运算指令:RRC rs,rd(功能rs的值带进位循环右移一位-> rd)

3、设计微指令的格式, 编写上述每条机器指令所对应的微程序,并上机调试。

4、通过如下程序的编写调试,验收机器指令、微指令、微程序的设计结果。

IN #data,R0

IN #data,R2

SUB R0, R2

RRC R2, R0

OUT R0

JMP 00H

工作计划与进度安排:

第17周:布置课程设计任务,查阅资料,分组设计,实验室组装与调试。

第20周:调试,验收,答辩,编写课程设计报告。

指导教师:

2013年 6月21日专业负责人:

2013年 6 月22日

学院教学副院长:

2013年6 月22日

目录

1. 实验计算机的设计 (2)

1.1整机逻辑框图设计及整机的逻辑框图 (2)

1.2指令系统的设计 (3)

1.3微操作控制部件的设计 (6)

1.3.1微指令编码的格式设计 (6)

1.3.2微操作控制信号设计 (6)

1.3.3微程序顺序控制方式设计 (7)

1.4编写调试程序 (15)

2.实验计算机的组装 (16)

3.实验计算机的调试 (16)

3.1 调试前准备 (16)

3.2调试步骤和调试结果 (19)

3.3心得体会 (19)

4、参考文献 (21)

1. 实验计算机的设计

1.1整机逻辑框图设计及整机的逻辑框图

此模型机是由运算器,控制器,存储器,输入设备,输出设备五大部分组成。

输入设备数据暂存器LT2

数据暂存器LT1

74299

寄存器R2

寄存器R2

寄存器R2

程序计数器PC

地址寄存器AR

存储器

(MEM)

脉冲源及时序指令寄存器IR

微控器

输出设备

图1.1模型机结构图

1.运算器又是有299,74LS181完成控制信号功能的算逻部件,暂存器LDR1,LDR2,及三个通

用寄存器R0,R2等组成。

2.控制器由程序计数器PC、指令寄存器、地址寄存器、时序电路、控制存储器及相应的译码电

路组成。

3.存储器RAM是通过CE和W/R两个微命令来完成数据和程序的的存放功能的。

4输入设备是由置数开关SW控制完成的。

5.输出设备有两位LED数码管和W/R控制完成的

图1-1中运算器ALU由U7--U10四片74LS181构成,暂存器1由U3、U4两片74LS273构成,暂存器2由U5、U6两片74LS273构成。微控器部分控存由U13--U15三片2816构成。除此之外,CPU 的其他部分都由EP1K10集成。

存储器部分由两片6116构成16位存储器,地址总线只有低八位有效,因而其存储空间为00H--FFH。

输出设备由底板上的四个LED数码管及其译码、驱动构成,当D-G和W/R均为低电平时将数据总线的数据送入数码管显示。在开关方式下,输入设备由16位电平开关及两个三态缓冲芯片74LS244构成,当DIJ-G为低电平时将16位开关状态送上数据总线。在键盘方式或联机方式下,数据可由键盘或上位机输入,然后由监控程序直接送上数据总线,因而外加的数据输入电路可以不用。

本系统的数据总线为16位,指令、地址和程序计数器均为8位。当数据总线上的数据打入指令寄存器、地址寄存器和程序计数器时,只有低八位有效。

1.2指令系统的设计

规定:表1.1寄存器表

Rs或rd 选定寄存器

00 R0

01 R1

10 R2

(1)存储器访问及转移指令

设计的2条访问指令,即存数(STA),取数(LDA),2条转移指令,即无条件转移(JMP),结果为零或有进位转移指令(BZC),指令格式见表1.2存储器的访问表:

表1.2存储器的访问表

7 6 5 4 3 2 1 0

00 M OP-CODE rd

D

其中OP-CODE为操作码,rd为寄存器。M为寻址模式,D为位移量,D随M的不同其定义也不相同(2)寻址方式见表1.3寻址模式表:

表1.3寻址模式表

寻址模式M 有效地址E 说明

00 E=D 直接寻址

01 E=(D)间接寻址

10 E=(R1)+D R1变址寻址

11 E=(PC)+D 相对寻址

注:本机规定变址寄存器R1指定为寄存器R2。

(3)I/O指令

输入(IN)和输出(OUT)指令采用单字节指令,格式见表1.4I/O操作码表:

7 6 5 4 3 2 1 0

OP-CODE addr rd

表1.4I/O操作码表

其中,当OP-CODE=0100且addr=10时,从“数据输入电路”中的开关组输入数据;当OP-CODE=0100且addr=01时,将数据送到“输出显示电路”中的数码管显示。

(4)指令助记符,功能及格式见表1.5:

表1.5指令格式

汇编符号指令的格式功能

CLR rd MOV rs,rd ADC rs,rd SBC rs,rd 0111 00 rd

1000 rs rd

1001 rs rd

1010 rs rd

0 rd

rs rd

rs+rd+cy rd

rs-rd-cy rd

INC rd AND rs,rd COM rd RRC rs,rd 1011 rs rd

1100 rs rd

1101 rs rd

1110 rs rd

rd+1 rd

rs∧rd rd

rd rd

cy rs

rs rd

RLC rs,rd 1111 rs rd cy rs

rs rd LDA M,D,rd 00 M 00 rd

D

E rs

STA M,D,rd 00 M 01 rd

D

rd E JMP M,D 00 M 10 00

EPC

BZC M,D 00 M 11 00

D当CY=1或Z=1时,E PC

IN addr,rd OUT addr,rd 0100 01 rd

0101 10 rd

addr rd

rd addr

HALT 停机

(5)指令编码:表1.6指令编码表:

地址(二进制)指令(二进制)指令(十六进制)助记符0000 0000 0100 01 00 44 IN #data, R0 0000 0001 0100 01 10 46 IN #data, R2 0000 0010 1010 00 10 A2 SUB R0, R2

0000 0011 1110 10 00 E8 RRC R2, R0

cy R2

rs rd 0000 01000101 10 0058

OUT,R0 0000 0101 0000 10 00 08 JMP 00H

0000 0110 0000 00 00 00

1.3微操作控制部件的设计

1.3.1微指令编码的格式设计

设计三个控制操作微程序:

存储器读操作(MRD):拨动清零开关CLR对地址、指令寄存器清零后,指令译码输入CA1、CA2为“00”时,按“单步”键,可对RAM连续读操作。

存储器写操作(MWE):拨动清零开关CLR对地址、指令寄存器清零后,指令译码输入CA1、CA2为“10”时,按“单步”键,可对RAM连续写操作。

启动程序(RUN):拨动清零开关CLR对地址、指令寄存器清零后,指令译码输入CA1、CA2为“11”

时,按“单步”键,即可转入到第01号“取指”微指令,启动程序运行

表1.7 本系统的微程序字长共24位,其控制顺序

24 23 22 21 2

19 18 17 16

15 14

13

12 11

10

9 8

7

6 5 4 3 2 1

S3 S2 S1 S0 M Cn WE 1A 1B F1 F2 F3 uA

5

uA

4

uA

3

uA

2

uA

1

uA

其中uA5-uA0为6位后续地址,F1,F2,F3为三个译码字段,分别由三个控制位译出多位,。1.3.2微操作控制信号设计

微指令中个控制位的含义如下:

S3、S2、S1、S0、M、CN是控制运算器的逻辑和算术运算的微命令。WE是写内存的微命令,状态“1”有效。1A、1B是输入电路选通、内存RAM选通、输出LED选通控制微命令,分别对应状态“11”、“10”、“01”。状态“00”为无效。F1、F2、F3为三个译码字段,分别由三个控制位经指令译码电路74138译码输出8种状态,前7种状态分别对应一组互斥性微命令中的一个,状态“111”

为无效。F3字段包含P1- P4四个测试字位。其功能是根据机器指令代码及相应微指令代码进行译码测试,使微程序转入相应的微地址入囗,从而实现微程序的顺序、分支、循环运行。

控制操作为P4测试,它以CA1、CA2作为测试条件,出现了写机器指令、读机器指令和运行机器指令3路分支,占用3个固定微地址单元。当分支微地址单元固定后,剩下的其它地方就可以一条微指令占用控存一个微地址单元随意填写。

机器指令的执行过程如下:首先将指令在外存储器的地址送上地址总线,然后将该地址上的指令传送至指令寄存器,这就是“取指”过程。之后必须对操作码进行P1测试,根据指令的译码将后续微地址中的某几位强制置位,使下一条微指令指向相应的微程序首地址,这就是“译码”过程。然后才顺序执行该段微程序,这是真正的指令执行过程。

在所有机器指令的执行过程中,“取指”和“译码”是必不可少的,而且微指令执行的操作也是相同的,这些微指令称为公用微指令。

表1.8F1、F2、F3三个字段的编码方案

F1字段F2字段F3字段

15 14 13 选择12 11 10 选择9 8 7 选择

0 0 0 LDRi 0 0 0 RAG 0 0 0 P1

0 0 1 LOAD 0 0 1 ALU-G 0 0 1 AR

0 1 0 LDR2 0 1 0 RCG 0 1 0 P3

0 1 1 自定

0 1 1 自定义0 1 1 自定义

1 0 0 LDR1 1 0 0 RBG 1 0 0 P2

1 0 1 LAR 1 0 1 PC-G 1 0 1 LPC

1 1 0 LDIR 1 1 0 299-G 1 1 0 P 4

1.3.3微程序顺序控制方式设计

1.微程序控制部件组成原理

[1] 运算器单元(ALU UINT)

运算器单元由以下部分构成:两片74LS181构成了并-串型8位ALU;两个8位寄存器DR1和DR2为暂存工作寄存器,保存参数或中间运算结果。ALU的S0~S3为运算控制端,Cn为最低进位输入,M为状态控制端。ALU的输出通过三态门74LS245连到数据总线上,由ALU-B控制该三态门。

[2] 寄存器堆单元(REG UNIT)

该部分由3片8位寄存器R0、R1、R2组成,它们用来保存操作数用中间运算结构等。三个寄存器的输入输出均以连入数据总线,由LDRi和RS-B根据机器指令进行选通。

[3] 指令寄存器单元(INS UNIT)

指令寄存器单元中指令寄存器(IR)构成模型机时用它作为指令译码电路的输入,实现程序的跳转,由LDIR控制其选通。

[4] 时序电路单元(STATE UNIT)

用于输出连续或单个方波信号,来控制机器的运行。

[5] 微控器电路单元(MICRO-CONTROLLER UNIT)

微控器主要用来完成接受机器指令译码器送来的代码,使控制转向相应机器指令对应的首条微代码程序,对该条机器指令的功能进行解释或执行的工作。由输入的W/R信号控制微代码的输出锁存。由程序计数器(PC)和地址寄存器(AR)实现程序的取指功能。

[6] 逻辑译码单元(LOG UNIT)

用来根据机器指令及相应微代码进行译码使微程序转入相应的微地址入口,从而实现微程序的顺序、分支、循环运行,及工作寄存器R0、R1、R2的选通译码。

[7] 主存储器单元(MAIN MEM)

用于存储实验中的机器指令。

[8] 输入输出单元(INPUT/OUTPUT DEVICE)

输入单元使用八个拨动开关作为输入设备,SW-B控制选通信号。输出单元将输入数据置入锁存器后由两个数码管显示其值。

《计算机组成原理》练习题

《微机组成原理》练习题 第一章计算机系统概论 一、选择题 1、冯.诺依曼机工作方式的基本特点是() A.多指令流单数据流B.按地址访问并顺序执行指令 C.堆栈操作D.存储器按内容选择地址 2、电子计算机的算术/逻辑单元、控制单元及主存储器合称为() A、CPU B、ALU C、主机 D、CU 3、完整的计算机系统应包括() A、运算器、存储器、控制器 B、外部设备和主机 C、主机和实用程序 D、配套的硬件设备和软件系统 4、计算机系统中的存储系统是指() A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 5、用以指定待执行指令所在地址的是() A、指令寄存器 B、数据计数器 C、程序计数器 D、累加器 6、微型计算机的发展以()技术为标志。 A.操作系统B.微处理器C.磁盘D.软件 7、存储单元是指() A.存放在一个字节的所有存储元集合B.存放一个存储字的所有存储元集合 C.存放一个二进制信息位的存储元集合D.存放一条指令的存储元集合 8、存储字长是指() A.存放在一个存储单元中的二进制代码组合B.存放在一个存储单元中的二进制代码位数C.存储单元的个数D.机器指令的位数 9、存放欲执行指令的寄存器是() A.MAR B.PC C.MDR D.IR 10、在CPU中跟踪指令后继地址的寄存器是() A.MAR B.PC C.MDR D.IR 二、填空题 1、()和()都存放在存储器中,()能自动识别它们。 2、存储器可分为主存和(),程序必须存于()内,CPU才能执行其中的指令。 3、存储器的容量可以用KB、MB、GB表示,它们分别代表()、()、()。 4、计算机硬件的主要技术指标包括()、()、()。 5、在用户编程所用的各种语言中,与计算机本身最为密切的语言是()。 6、汇编语言是一种面向()的语言,对()依赖性强,用汇编语言编制的程序执行速度比高级 语言()。 7、有些计算机将一部分软件永恒地存于只读存储器中,称为()。 8、基于()原理的()计算机工作方式的基本特点是按地址访问并顺序执行指令。 三、简答题 1、冯.诺依曼计算机体系结构的基本思想是什么?按此思想设计的计算机硬件系统由哪些部件组成?

计算机组成原理

计算机组成原理大型实验 报告 (2010/2011第2学期------第19周) 指导教师: 班级: 姓名: 学号: 计算机组成原理课程设计实验报告 一、目的和要求 目的: 深入了解计算机各种指令的执行过程,以及控制器的组成,指令系统微程序设计的具体知识,进一步理解和掌握动态微程序设计的概念;完成微程序控制的特定功能计算机的指令系统设计和调试。 要求: (1)、内容自行设计相关指令微程序;(务必利用非上机时间设计好微程序) (2)、测试程序、实验数据并上机调试; (3)、报告内容: 包括 1、设计目的 2、设计内容 3、微程序设计(含指令格式、功能、设计及微程序) 4、实验数据(测试所设计指令的程序及结果)。(具体要求安最新规范为准) 二、实验环境 TEC—2机与PC机。 三、具体内容 实验内容: (1)把用绝对地址表示的内存单元A中的内容与内存单元B中的内容相加,结果存于内存单元C中。 指令格式:D4××,ADDR1,ADDR2,ADDR3四字指令(控存入口100H) 功能:[ADDR3]=[ADDR1]+[ADDR2] (2)将一通用寄存器内容减去某内存单元内容,结果放在另一寄存器中。 指令格式:E0DRSR,ADDR(SR,DR源、目的寄存器各4位)双字指令(控存 入口130H) 功能:DR=SR-[ADDR]

(3)转移指令。判断两个通用寄存器内容是否相等,若相等则转移到指定绝对地址,否则顺序执行。 指令格式:E5DRSR,ADDR双字指令(控存入口140H) 功能:ifDR==SRgotoADDRelse顺序执行。 设计:利用指令的CND字段,即IR10~8,令IR10~8=101,即CC=Z 则当DR==SR时Z=1,微程序不跳转,接着执行MEMPC(即ADDRPC),而当DR!=SR 时Z=0,微程序跳转至A4。 实验设计并分析: 第一条:把用绝对地址表示的内存单元A中的内容与内存单元B中的内容相加,结果存于内存单元C中。 指令格式:D4××,ADDR1,ADDR2,ADDR3四字指令(控存入口100H) 功能:[ADDR3]=[ADDR1]+[ADDR2] 指令格式: D4XX ADDR1 ADDR2 ADDR3 微程序: PC→AR,PC+1→PC:00000E00A0B55402 MEM→AR:00000E00 10F00002 MEM→Q:00000E00 00F00000 PC→AR,PC+1→PC:00000E00 A0B5 5402 MEM→AR:00000E00 10F0 0002 MEM+Q→Q:00000E01 00E0 0000 PC→AR,PC+1→PC:00000E00 A0B5 5402 MEM→AR:00000E0010F0 0002 Q→MEM,CC#=0:00290300 10200010 指令分析: PC->AR,PC+1->PC 0000 0000 1110 0000 0000 1010 0000 1011 0101 0101 0100 0000 0010 MEM->AR 0000 0000 1110 0000 0000 0001 0000 1111 0000 0000 0000 0000 0100 MEM->Q 0000 0000 1110 0000 0000 0000 0000 1111 0000 0000 0000 0000 0000 PC->AR,PC+1->PC 0000 0000 1110 0000 0000 1010 0000 1011 0101 0101 0100 0000 0010 MEM->AR 0000 0000 1110 0000 0000 0001 0000 1111 0000 0000 0000 0000 0100 MEM+Q->Q 0000 0000 1110 0000 0001 0000 0000 1110 0000 0000 0000 0000 0000 PC->AR,PC+1->PC 0000 0000 1110 0000 0000 1010 0000 1011 0101 0101 0100 0000 0010 MEM->AR 0000 0000 1110 0000 0000 0001 0000 1111 0000 0000 0000 0000 0100

(完整版)计算机组成原理简答题

计算机组成原理简答题 第四章 1、存储器的层次结构主要体现在什么地方?为什么要分这些层次?计算机如何管理这些层次? 答:存储器的层次结构主要体现在Cache-主存和主存-辅存这两个存储层次上。 Cache-主存层次在存储系统中主要对CPU访存起加速作用,即从整体运行的效果分析,CPU 访存速度加快,接近于Cache的速度,而寻址空间和位价却接近于主存。 主存-辅存层次在存储系统中主要起扩容作用,即从程序员的角度看,他所使用的存储器其容量和位价接近于辅存,而速度接近于主存。 综合上述两个存储层次的作用,从整个存储系统来看,就达到了速度快、容量大、位价低的优化效果。 主存与CACHE之间的信息调度功能全部由硬件自动完成。而主存与辅存层次的调度目前广泛采用虚拟存储技术实现,即将主存与辅存的一部分通过软硬结合的技术组成虚拟存储器,程序员可使用这个比主存实际空间(物理地址空间)大得多的虚拟地址空间(逻辑地址空间)编程,当程序运行时,再由软、硬件自动配合完成虚拟地址空间与主存实际物理空间的转换。因此,这两个层次上的调度或转换操作对于程序员来说都是透明的。 2. 说明存取周期和存取时间的区别。 解:存取周期和存取时间的主要区别是:存取时间仅为完成一次操作的时间,而存取周期不仅包含操作时间,还包含操作后线路的恢复时间。即: 存取周期 = 存取时间 + 恢复时间 3. 什么叫刷新?为什么要刷新?说明刷新有几种方法。 解:刷新:对DRAM定期进行的全部重写过程; 刷新原因:因电容泄漏而引起的DRAM所存信息的衰减需要及时补充,因此安排了定期刷新操作; 常用的刷新方法有三种:集中式、分散式、异步式。 集中式:在最大刷新间隔时间内,集中安排一段时间进行刷新,存在CPU访存死时间。 分散式:在每个读/写周期之后插入一个刷新周期,无CPU访存死时间。 异步式:是集中式和分散式的折衷。 4. 半导体存储器芯片的译码驱动方式有几种? 解:半导体存储器芯片的译码驱动方式有两种:线选法和重合法。 线选法:地址译码信号只选中同一个字的所有位,结构简单,费器材; 重合法:地址分行、列两部分译码,行、列译码线的交叉点即为所选单元。这种方法通过行、列译码信号的重合来选址,也称矩阵译码。可大大节省器材用量,是最常用的译码驱动方式。 5. 什么是“程序访问的局部性”?存储系统中哪一级采用了程序访问的局部性原理? 解:程序运行的局部性原理指:在一小段时间内,最近被访问过的程序和数据很可能再次被访问;在空间上,这些被访问的程序和数据往往集中在一小片存储区;在访问顺序上,指令顺序执行比转移执行的可能性大 (大约 5:1 )。存储系统中Cache—主存层次采用了程序访问的局部性原理。 6. Cache做在CPU芯片内有什么好处?将指令Cache和数据Cache分开又有什么好处? 答:Cache做在CPU芯片内主要有下面几个好处:

计算机组成原理答案

第二章运算方法和运算器练习 一、填空题 1. 补码加减法中,(符号位)作为数的一部分参加运算,(符号位产生的进位)要丢掉。 2. 为判断溢出,可采用双符号位补码,此时正数的符号用(00)表示,负数的符号用(11)表示。 3. 采用双符号位的方法进行溢出检测时,若运算结果中两个符号位(不相同),则表明发生了溢出。若结果的符号位为(01),表示发生正溢出;若为(10),表示发生负溢出。 4. 采用单符号位进行溢出检测时,若加数与被加数符号相同,而运算结果的符号与操作数的符号(不一致),则表示溢出;当加数与被加数符号不同时,相加运算的结果(不会产生溢出)。 5. 利用数据的数值位最高位进位C和符号位进位Cf的状况来判断溢出,则其表达式为over=(C⊕Cf)。 6. 在减法运算中,正数减(负数)可能产生溢出,此时的溢出为(正)溢出;负数减(正数)可能产生溢出,此时的溢出为(负)溢出。 7. 补码一位乘法运算法则通过判断乘数最末位Yi和Yi-1的值决定下步操作,当 YiYi-1=(10)时,执行部分积加【-x】补,再右移一位;当YiYi-1=(01)时,执行部分积加 【x】补,再右移一位。 8. 浮点加减运算在(阶码运算溢出)情况下会发生溢出。 9. 原码一位乘法中,符号位与数值位(分开运算),运算结果的符号位等于(两操作数符号的异或值)。 10. 一个浮点数,当其补码尾数右移一位时,为使其值不变,阶码应该(加1)。 11. 左规的规则为:尾数(左移一位),阶码(减1)。 12. 右规的规则是:尾数(右移一位),阶码(加1)。 13. 影响进位加法器速度的关键因素是(进位信号的传递问题)。 14. 当运算结果的补码尾数部分不是(11.0×××××或00.1×××××)的形式时,则应进行规格化处理。当尾数符号位为(01)或(10)时,需要右规。 15. (进位信号的产生与传递逻辑)称为进位链。

《计算机组成原理》练习题

《计算机组成原理》练习题 第一章概论 一、选择题 01. 电子计算机主存内的ROM是指。 A.不能改变其内的数据 B.只能读出数据,不能写入数据 C.通常用来存储系统程序 D.以上都是 02. 有些计算机将一部分软件永恒地存于只读存储器中,称之为。 A.硬件 B.软件 C. 固件 D.辅助存储 03. 如果要处理速度、温度、电压等连续性数据可以使用。 A.数字计算机 B.模拟计算机 C.混合计算机 D.特殊用途计算机 04. 邮局把信件进行自动分拣,使用的计算机技术是。 A.机器翻译 B.自然语言理解 C.模式识别 D.过程控制 05. 冯.诺伊曼机工作方式的基本特点是。 A.多指令流单数据流 B.按地址访问并顺序执行指令 C.堆栈操作 D.存储器按内容选择地址。 06. 某寄存器中的值可能是操作数,也可能是地址,只有计算机的才能识别它。 A.译码器 B.判断程序 C.指令 D.时序信号。 07. 80年代以来,许多国家开始研究第五代计算机,这种计算机系统是。 A.超高速巨型计算机系统 B.知识信息处理系统 C.大型分布式计算机系统 D.超级微型计算机群组成的计算机网。 08. 计算机的算逻单元的控制单元合称为。 A.ALU B.UP C.CPU D.CAD 09. 磁盘驱动器读写数据的基本存取单位为。 A.比特 B.字节 C.磁道 D.扇区 二、填空题 01. 计算机硬件是指, 软件是指, 固件是指。 02. 数控机床是计算机在方面的应用。 03. 人工智能研究, 模式识别研究。

04. 计算机用来处理离散的数据,而计算机用来处理连续性的数据。 05.存储器可分为主存和,程序必须存于内,CPU才能执行其中的指令。 第二章计算机中的信息编码 一、选择题 01. 对真值0表示形式唯一的机器数是。 A.原码 B.补码和移码 C.补码 D.反码 02. 在整数定点机中,下述第说法正确。 A.原码和反码不能表示-1,补码可以表示-1。 B.三种机器数均可表示-1 C.三种机器数均可表示-1,且三种机器数的表示范围相同。 D.以上说法均不对。 03. 在小数定点机中,下述第说法正确。 A.只有补码能表示-1 B.只有原码能表示-1 C.三种机器数均不能表示-1 D.以上说法均不对 04.设X为真值,X*为其绝对值,则等式[-X*]补=[-X]补。 A.成立 B.不成立 05.设X为真值,X*为其绝对值,满足[-X*]补=[-X]补的条件是。 A.X任意 B.X为正数 C.X为负数 D.X为非负数 06.设寄存器内容为11111111,若它等于-0,则为 A.原码 B.补码 C.反码 D.移码 二、填空题 01.采用浮点表示时,若尾数为规格化形式,则浮点数的表示范围取决于的位数,精度取决于的位数,确定浮点数的正负。 02.一个浮点数,当其尾数右移时,欲使其值不变,阶码必须。尾数右移1 位,阶码。 03.一个浮点数,确定了小数点的位置,当其尾数左移时,欲使其值不变,必须使。 04.移码常用来表示浮点数的部分,移码和补码除符号位外,其他

计算机组成原理简答题

.简述计算机系统 计算机系统是由硬件、软件组成的多级层次结构。 计算机硬件是由有形的电子器件等构成的,它包括运算器、存储器、控制器、适配器、输入输出设备。传统上将运算器和控制器称为CPU,而将CPU和存储器称为主机。 计算机软件是计算机系统结构的重要组成部分,也是计算机不同于一般电子设备的本质所在。计算机软件一般分为系统程序和应用程序两大类。系统程序用来简化程序设计,简化使用方法,提高计算机的使用效率,发挥和扩大计算机的功能和用途,它包括:()各种服务程序,()语言类程序,()操作系统,()数据库管理系统。应用程序是针对某一应用课题领域开发的软件。 .冯·诺依曼型计算机设计思想、主要特点。 计算机由运算器、控制器、存储器、输入和输出设备五部分组成。 数据以二进制码表示。 采用存储程序的方式,程序和数据放在同一个存储器中并按地址顺序执行。 机器以运算器为中心,输入输出设备与存储器间的数据传送都通过运算器。 已知和,用变形补码计算,同时指出运算结 果是否溢出。 () () 解:() [x]补=,[y]补= [x]补 +[y]补 两个符号位出现“”,表示无溢出 []补, () [x]补=,[y]补= [x]补 +[y]补 两个符号位出现“”,表示有正溢出。 .已知和,用变形补码计算,同时指出运算结果是否溢出。 ()() ()[x]补=,[y]补=, [y]补= [x]补 +[y]补 两个符号位出现“”,表示有正溢出。 ()[x]补=,[y]补=, [y]补= [x]补 +[y]补

两个符号位出现“”,表示无溢出 []补, . 简要说明存储器层次结构、采用层次结构的目的,说明每一层次的存储器所用的存储介质的特性。 计算机存储系统中,一般分为高速缓冲存储器、主存储器和辅助存储器三个层次。 采用层次模型的目标是为了解决对存储器要求容量大,速度快,成本低三者之间的矛盾,即在合理的成本范围内,通过对各级存储器的容量配置,达到可接受的性能。 高速缓冲存储器:即,它一般用的是,其特点是速度快、价格高。 主存储器:一般是,其速度相对快,价格居中。 辅助存储器:一般是硬盘,可以断电后保存数据,容量大,但速度慢。 . 比较和的主要特性,用其组成系统时,从设计和使用角度看两 者有何区别。 ()和的主要性能 区别 特性静态存储器动态存储器 存储信息触发器电容 破坏性读出非是 需要刷新不要需要 送行列地址同时送分两次送 运行速度快慢 集成度低高 发热量大小 存储成本高低 ()器件的特点是速度快、不用刷新,但集成度不高,价格贵。它一般用于做高速缓存。 器件的特点是相对廉价和大容量,但须定时刷新。它一般用于做主存储器。 . 一个具有位地址和位字长的存储器,问: .该存储器能够存储多少字节的信息? .如果存储器由位的芯片组成,需要多少片? .需要多少位作芯片选择? .存储单元数为=, 故能存储个字节的信息。 所需芯片数为( )()=片 (地址线位),(地址线位) 片位组成位,地址总线的低位可以直接连到芯片的管脚 组位组成位,地址总线的高两位(,)需要通过:线译码器进行芯片选择 . 设有一个具有位地址和位字长的存储器,问: ()该存储器能够存储多少个字节的信息? ()如果存储器由位的芯片组成,需要

计算机组成原理答案

第5章习题参考答案 1.请在括号内填入适当答案。在CPU中: (1)保存当前正在执行的指令的寄存器是( IR ); (2)保存当前正在执行的指令地址的寄存器是( AR ) (3)算术逻辑运算结果通常放在( DR )和(通用寄存器)。2.参见图5.15的数据通路。画出存数指令“STO Rl,(R2)”的指令周期流程图,其含义是将寄存器Rl的内容传送至(R2)为地址的主存单元中。标出各微操作信号序列。 解: STO R1, (R2)的指令流程图及微操作信号序列如下: 3.参见图5.15的数据通路,画出取数指令“LAD (R3),R0”的指令周期流程图,其含义是将(R3)为地址主存单元的内容取至寄存器R2中,标出各微操作控制信号序列。 解: LAD R3, (R0)的指令流程图及为操作信号序列如下: 4.假设主脉冲源频率为10MHz,要求产生5个等间隔的节拍脉冲,试画出时序产生器的逻辑图。 解: 5.如果在一个CPU周期中要产生3个节拍脉冲;T l =200ns,T 2 =400ns, T 3 =200ns,试画出时序产生器逻辑图。 解:取节拍脉冲T l 、T 2 、T 3 的宽度为时钟周期或者是时钟周期的倍数即可。 所以取时钟源提供的时钟周期为200ns,即,其频率为5MHz.;由于要输

出3个节拍脉冲信号,而T 3的宽度为2个时钟周期,也就是一个节拍电位的时间是4个时钟周期,所以除了C 4外,还需要3个触发器——C l 、C 2、C 3;并令 211C C T *=;321C C T *=;313C C T =,由此可画出逻辑电路图如下: 6.假设某机器有80条指令,平均每条指令由4条微指令组成,其中有一条取指微指令是所有指令公用的。已知微指令长度为32位,请估算控制存储器容量。 解:80条指令,平均每条指令由4条微指令组成,其中有一条公用微指令,所以总微指令条数为80? (4-1)+1=241条微指令,每条微指令32位,所以控存容量为:241?32位 7.某ALU 器件是用模式控制码M S 3 S 2 S 1 C 来控制执行不同的算术运算和逻辑操作。下表列出各条指令所要求的模式控制码,其中y 为二进制变量,φ为0或l 任选。 试以指令码(A ,B ,H ,D ,E ,F ,G)为输入变量,写出控制参数M ,S 3,S 2,S l ,C 的逻辑表达式。 解:

计算机组成原理练习题-答案

一、填空题 1.对存储器的要求是速度快,_容量大_____,_价位低_____。为了解决这方面的矛盾,计算机采用多级存储体系结构。 2.指令系统是表征一台计算机__性能__的重要因素,它的____格式__和___功能___不仅直接影响到机器的硬件结构而且也影响到系统软件。 3.CPU中至少有如下六类寄存器__指令____寄存器,__程序_计数器,_地址__寄存器,通用寄存器,状态条件寄存器,缓冲寄存器。 4.完成一条指令一般分为取指周期和执行周期,前者完成取指令和分析指令操作,后者完成执行指令操作。 5.常见的数据传送类指令的功能可实现寄存器和寄存器之间,或寄存器和存储器之间的数据传送。 6.微指令格式可分为垂直型和水平型两类,其中垂直型微指令用较长的微程序结构换取较短的微指令结构。 7.对于一条隐含寻址的算术运算指令,其指令字中不明确给出操作数的地址,其中一个操作数通常隐含在累加器中 8.设浮点数阶码为8位(含1位阶符),尾数为24位(含1位数符),则32位二进制补码浮点规格化数对应的十进制真值范围是:最大正数为 2^127(1-2^-23) ,最小正数为 2^-129 ,最大负数为 2^-128(-2^-1-2^-23) ,最小负数为 -2^127 。 9.某小数定点机,字长8位(含1位符号位),当机器数分别采用原码、补码和反码时,其对应的真值范围分别是 -127/128 ~+127/128 -1 ~+127/128 -127/128 ~+127/128 (均用十进制表示)。 10.在DMA方式中,CPU和DMA控制器通常采用三种方法来分时使用主存,它们是停止CPU访问主存、周期挪用和DMA和CPU交替访问主存。 11.设 n = 8 (不包括符号位),则原码一位乘需做 8 次移位和最多 8 次加法,补码Booth算法需做 8 次移位和最多 9 次加法。 12.设浮点数阶码为8位(含1位阶符),尾数为24位(含1位数符),则32位二进制补码浮点规格化数对应的十进制真值范围是:最大正数为,最小正数为,最大负数为,最小负数为。 13.一个总线传输周期包括申请分配阶段、寻址阶段、传输阶段和结束阶段四个阶段。 14.CPU采用同步控制方式时,控制器使用机器周期和节拍组成的多极时序系统。

计班计算机组成原理复习重点白中英版

计算机组成原理课程总结&复习考试要点 一、考试以讲授过的教材中的内容为主,归纳要点如下: 第1章 -第2章计算机概念运算方法和运算器 (一)学习目标 1.了解计算机的分类和应用。 2.掌握计算机的软、硬件构成。 3.掌握计算机的层次结构。 3.掌握数的原码、反码、补码的表示方法。 4.掌握计算机中数据的定点表示和浮点表示方法,并熟练掌握各种表示方法下所能表示的数据的范围。 5.理解定点加法原理及其判断溢出的方法。 6.了解计算机定点乘法、除法的实现方法。 7.了解浮点加法,乘法,除法的实现方法。 8.理解ALU运算器的工作原理及其扩展方法。 (二)第1章学习内容 第一节计算机的分类和应用 要点:计算机的分类,计算机的应用。 第二节计算机的硬件和软件 要点:了解计算机的硬件构成及各部分的功能;了解计算机的软件分类和发展演变。 第三节计算机系统的层次结构 要点:了解计算机系统的层次结构。 (三)第2章学习内容 第一节数据和文字的表示方法 要点:△定点数的表示方法,及其在原码、反码和补码表示下的数值的范围;△○浮点数的表示方法及其不同表示格式下数据的表示范围;常见汉字和字符的几种表示方法; 第二节定点加法、减法运算 要点:△补码加、减法及其溢出的检测方法;二进制加法器和十进制加法器的逻辑构成。 第三节定点乘法运算 要点:原码并行乘法原理;不带符号的阵列乘法器;补码并行乘法原理;○直接补码阵列乘法器。 第四节定点除法运算 要点:理解原码除法原理以及并行除法器的构成原理。 第五节多功能算术/逻辑运算单元 要点:△74181并行进位运算器;74182进位链;△○多位ALU的扩展。 第六节浮点运算运算和浮点运算器

计算机组成原理简答题

1 说明计算机系统的层次结构。 计算机系统可分为:微程序机器级,一般机器级(或称机器语言级),操作系统级,汇编语言级,高级语言级。 3 请说明SRAM的组成结构,与SRAM相比,DRAM在电路组成上有什么不同之处? SRAM存储器由存储体、读写电路、地址译码电路、控制电路组成,DRAM还需要有动态刷新电路。 4 请说明程序查询方式与中断方式各自的特点。 程序查询方式,数据在CPU和外围设备之间的传送完全靠计算机程序控制,优点是硬件结构比较简单,缺点是CPU效率低,中断方式是外围设备用来“主动”通知CPU,准备输入输出的一种方法,它节省了CPU时间,但硬件结构相对复杂一些。 5 指令和数据均存放在内存中,计算机如何从时间和空间上区分它们是指令还是数据。 时间上讲,取指令事件发生在“取指周期”,取数据事件发生在“执行周期”。从空间上讲,从内存读出的指令流流向控制器(指令寄存器)。从内存读出的数据流流向运算器(通用寄存器)。 6 什么是指令周期?什么是机器周期?什么是时钟周期?三者之间的关系如何? 指令周期是完成一条指令所需的时间。包括取指令、分析指令和执行指令所需的全部时间。机器周期也称为CPU周期,是指被确定为指令执行过程中的归一化基准时间,通常等于取指时间(或访存时间)。 时钟周期是时钟频率的倒数,也可称为节拍脉冲或T周期,是处理操作的最基本单位。一个指令周期由若干个机器周期组成,每个机器周期又由若干个时钟周期组成。 7 简要描述外设进行DMA操作的过程及DMA方式的主要优点。 (1)外设发出DMA请求; (2)CPU响应请求,DMA控制器从CPU接管总线的控制; (3)由DMA控制器执行数据传送操作; (4)向CPU报告DMA操作结束。 主要优点是数据数据速度快 8 在寄存器—寄存器型,寄存器—存储器型和存储器—存储器型三类指令中,哪类指令的执行时间最长? 哪类指令的执行时间最短?为什么? 寄存器-寄存器型执行速度最快,存储器-存储器型执行速度最慢。因为前者操作数在寄存器中,后者操作数在存储器中,而访问一次存储器所需的时间一般比访问一次寄存器所需时间长。 9 说明计数器定时查询工作原理。 计数器定时查询方式工作原理:总线上的任一设备要求使用总线时,通过BR线发出总线请求。总线控制器接到请求信号以后,在BS线为“0”的情况下让计数器开始计数,计数值通过一组地址线发向各设备。每个设备接口都有一个设备地址判别电路,当地址线上的计数值与请求总线的设备相一致时,该设备置“1”BS线,获得总线使用权,此时中止计数查询。 10 什么是刷新存储器?其存储容量与什么因素有关? 为了不断提供刷新图像的信号,必须把一帧图像信息存储在刷新存储器,也叫视频存储器。其存储容量由图像灰度级决定。分辨率越高,灰度级越多,刷新存储器容量越大 11 外围设备的I/O控制方式分哪几类?各具什么特点? 外围设备的I/O控制方式分类及特点: (1)程序查询方式:CPU的操作和外围设备的操作能够同步,而且硬件结构比较简单 (2)程序中断方式:一般适用于随机出现的服务,且一旦提出要求应立即进行,节省了CPU的时间,但硬件结构相对复杂一些。 (3)直接内存访问(DMA)方式:数据传输速度很高,传输速率仅受内存访问时间的限制。需更多硬件,适用于内存和高速外设之间大批交换数据的场合。 (4)通道方式:可以实现对外设的统一管理和外设与内存之间的数据传送,大大提高了CPU的工作效率。 (5)外围处理机方式:通道方式的进一步发展,基本上独立于主机工作,结果更接近一般处理机。

计算机组成原理课后答案

… 第一章计算机系统概论 什么是计算机系统、计算机硬件和计算机软件硬件和软件哪个更重要 计算机系统:计算机硬件、软件和数据通信设备的物理或逻辑的综合体 计算机硬件:计算机的物理实体 计算机软件:计算机运行所需的程序及相关资料 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要 如何理解计算机系统的层次结构 实际机器M1向上延伸构成了各级虚拟机器,机器M1内部也可向下延伸而形成下一级的微程序机器M0,硬件研究的主要对象归结为传统机器M1和微程序机器M0,软件研究对象主要是操作系统及以上的各级虚拟机 》 说明高级语言、汇编语言和机器语言的差别及其联系。 机器语言是可以直接在机器上执行的二进制语言 汇编语言用符号表示指令或数据所在存储单元的地址,使程序员可以不再使用繁杂而又易错的二进制代码来编写程序 高级语言对问题的描述十分接近人们的习惯,并且还具有较强的通用性 如何理解计算机组成和计算机体系结构 计算机体系结构是对程序员可见的计算机系统的属性 计算机组成对程序员透明,如何实现计算机体系结构所体现的属性 冯·诺依曼计算机的特点是什么 。 由运算器、控制器、存储器、输入设备、输出设备五大部件组成 指令和数据以同一形式(二进制形式)存于存储器中 指令由操作码、地址码两大部分组成 指令在存储器中顺序存放,通常自动顺序取出执行 以运算器为中心(原始冯氏机) 画出计算机硬件组成框图,说明各部件的作用及计算机硬件的主要技术指标。 计算机硬件各部件 运算器:ACC, MQ, ALU, X ' 控制器:CU, IR, PC 主存储器:M, MDR, MAR I/O设备:设备,接口 计算机技术指标: 机器字长:一次能处理数据的位数,与CPU的寄存器位数有关 存储容量:主存:存储单元个数×存储字长 运算速度:MIPS, CPI, FLOPS 解释概念 & 主机:计算机硬件的主体部分,由 CPU+MM(主存或内存)组成 CPU:中央处理器,是计算机硬件的核心部件,由运算器+控制器组成 主存:计算机中存放正在运行的程序和数据的存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成

计算机组成原理练习1

计算机组成原理练习1 一、单项选择题 1. 若十六进数为AC.B,则其十进制数为______。 A. 254.54 B. 2763 C. 172.6875 D. 172.625 2. 存放当前欲执行指令的寄存器是______。 A. MAR B. PC C. MDR D. IR 3. 在独立请求方式下,若有N个设备,则______。 A. 有一个总线请求信号和一个总线响应信号; B. 有N个总线请求信号和N个总线响应信号; C. 有一个总线请求信号和N个总线响应信号; D. 有N个总线请求信号和一个总线响应信号。 4. 动态存储器的特点是______。 A. 工作中存储内容会产生变化 B. 工作中需要动态改变访存地址 C. 工作中需要动态地改变供电电压 D. 需要定期刷新每个存储单元中存储的信息 5. DMA访问主存时,向CPU发出请求,获得总线使用权时再进行访存,这种情况称作______。 A. 停止CPU访问主存; B. 周期挪用; C. DMA与CPU交替访问; D. DMA。 6. 计算机中表示地址时,采用______ 。 A. 原码 B. 补码 C. 反码 D. 无符号数 7. 采用变址寻址可扩大寻址范围,且______。 A. 变址寄存器内容由用户确定,在程序执行过程中不可变; B. 变址寄存器内容由操作系统确定,在程序执行过程中可变; C. 变址寄存器内容由用户确定,在程序执行过程中可变; D. 变址寄存器内容由操作系统确定,在程序执行过程不中可变; 8. 由编译程序将多条指令组合成一条指令,这种技术称做_______。 A. 超标量技术 B. 超流水线技术 C. 超长指令字技术 D. 超字长 9. 计算机执行乘法指令时,由于其操作较复杂,需要更多的时间,通常采用______控制方式。 A. 延长机器周期内节拍数的 B. 异步 C. 中央与局部控制相结合的 D. 同步 10. 微程序放在______中。 A. 存储器控制器 B. 控制存储器 C. 主存储器 D. Cache 11. 在CPU的寄存器中,______对用户是完全透明的。 A. 程序计数器 B. 指令寄存器 C. 状态寄存器 D. 通用寄存器 12. 运算器由许多部件组成,其核心部分是______。 A. 数据总线 B. 算术逻辑运算单元

计算机组成原理课程设计

《计算机组成原理》大作业报告 题目名称:交通灯控制系统设计 学院(部 ): 计算机学院 专业:计算机科学与技术 学生姓名: 班级 学号 最终评定成绩:___________________________________ 湖南工业大计算机学院 目录

交通在人们的日常生活中占有重要的地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。近年来随着科技的飞速发展,的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 本系统采用单片机AT89S52为中心器件来设计交通灯控制器,系统实用性强、操作简单、扩展性强。本设计就是采用单片机模拟十字路口交通灯的各种状态显示以及倒计时时间。 本设计系统由单片机I/O 口扩展系统、交通灯状态显示系统、LED数码显示系统、复位电路等几大部分组成。系统除基本的交通灯功能外,还具有倒计时等功能,较好的模拟实现了十字路口可能出现的状况。 软件上采用C51编程,主要编写了主程序,LED数码管显示程序,中断程序延时程序等。经过整机调试,实现了对十字路口交通灯的模拟。 1. 引言 当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在1 9世纪就已出现了。 1858 年,在英国伦敦主要街头安装了以燃煤气为光源的红,蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两以旋转式方形玻璃提灯组成,红色表示“停止” ,绿色表示“注意” 。1869 年1月2日,煤气灯爆炸,使警察受伤,遂被取消。 电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,19xx 年始安装于纽约市5号大街的一座高塔上。红灯亮表示“停止” ,绿灯亮表示“通行”。 19xx 年,又出现了带控制的红绿灯和红外线红绿灯。带控制的4 红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。19xx 年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉路口的停

计算机组成原理简答题.wps

一、cache的映射方式及特点 1.全相联方式的主要缺点是比较器电路难于设计和实现,因此只适合于小容量cache采用。 2.直接映射方式的优点是硬件简单,成本低。缺点是每个主存块只有一个固定的行位置可存放。 3.组相联映射的方式是前两种方式的折中方案,它适度地兼顾了二者的优点又尽量避免二者的缺点,因此被普遍采用。 二、cache的替换策略及特点 1.最不经常使用算法,LFU算法认为应将一段时间内被访问次数最少的那行数据换出。 2.近期最少使用算法,LRU算法将近期内长久未被访问过的行换出。 3.随机替换策略实际上是不要什么算法,从特定的行位置中随机地选取一行换出即可。 三、cache的写回策略方式及特点 1.写回法:当CPU写cache命中时,只修改cache的内容,而不立即写入主存;只有当此行被换出时才写回主存。减少了访问主存的次数,但是存在不一致性的隐患,实现时,每个cache行必须配置一个修改位,以反映此行是否被CPU修改过。 2.全写法:当写cache命中时,cache与主存同时发生写修改,因而较好地维护了cache 与主存的内容的一致,当写cache未命中时,直接向主存进行写入。cache中每行无需设置一个修改位以及相应的判断逻辑,缺点是降低了cache的功效。 3.写一次法:基于写回法并结合全写法的写策略,写命中与写未命中的处理方法与写回法基本相同,只是第一次写命 中时要同时写入主存。这便于维护系统全部cache的一致性 四、对指令系统性能的要求有哪些? 1.完备性,要求指令系统丰富、功能齐全、使用方便。 2.有效性,利用该指令系统所编写的程序能够高效率的运行。 3.规整性包括指令系统的对称性、匀齐性、指令格式和数据格式的一致性。 4.系列机各机种之间具有相同的基本结构和共同的基本指令集,因而指令系统是兼容的,即各机种上基本软件可以通用。 五、精简指令系统的特点 RISC指令系统的最大特点是: ⑴选取使用频率最高的一些简单指令,指令条数少; ⑵指令长度固定,指令格式种类少;

计算机组成原理课后答案

第一章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解:P3 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 ●计算机由运算器、控制器、存储器、输入设备、输出设备五大部件组成; ●指令和数据以同同等地位存放于存储器内,并可以按地址访问; ●指令和数据均用二进制表示; ●指令由操作码、地址码两大部分组成,操作码用来表示操作的性质,地址码用来表示操 作数在存储器中的位置; ●指令在存储器中顺序存放,通常自动顺序取出执行; ●机器以运算器为中心(原始冯?诺依曼机)。 7. 解释下列概念: 主机、CPU、主存、存储单元、存储元件、存储基元、存储元、存储字、存储字长、存储容量、机器字长、指令字长。 解:P9-10 主机:是计算机硬件的主体部分,由CPU和主存储器MM合成为主机。 CPU:中央处理器,是计算机硬件的核心部件,由运算器和控制器组成;(早期的运算器和控制器不在同一芯片上,现在的CPU内除含有运算器和控制器外还集成了CACHE)。 主存:计算机中存放正在运行的程序和数据的存储器,为计算机的主要工作存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成。 存储单元:可存放一个机器字并具有特定存储地址的存储单位。 存储元件:存储一位二进制信息的物理元件,是存储器中最小的存储单位,又叫存储基元或存储元,不能单独存取。 存储字:一个存储单元所存二进制代码的逻辑单位。 存储字长:一个存储单元所存二进制代码的位数。 存储容量:存储器中可存二进制代码的总量;(通常主、辅存容量分开描述)。 机器字长:指CPU一次能处理的二进制数据的位数,通常与CPU的寄存器位数有关。 指令字长:一条指令的二进制代码位数。 8. 解释下列英文缩写的中文含义: CPU、PC、IR、CU、ALU、ACC、MQ、X、MAR、MDR、I/O、MIPS、CPI、FLOPS 解:全面的回答应分英文全称、中文名、功能三部分。 CPU:Central Processing Unit,中央处理机(器),是计算机硬件的核心部件,主要由运算器和控制器组成。 PC:Program Counter,程序计数器,其功能是存放当前欲执行指令的地址,并可自动计数

计算机组成原理练习题一

计算机组成原理练习题一 一、简答题: 1、电子计算机一般分成哪些组成部分?为什么要分成这些组成部分? 答:电子计算机主要有五个组成部分:输入设备、控制单元、存储器、运算单元和输出设备。这些部件相互配合,相互协调地完成运算任务。输入设备用于接收外界信息,输出设备将计算的结果从计算机中输出,控制器完成操作步骤的控制和协调,存储器用于存储程序和数据,运算器则是完成计算工作的部件。 2、计算机中采用什么计数制?为什么? 答:计算机中所采用的是二进制的数据表示形式,因为二进制能方便可靠地用数字电路的逻辑电平表示。 3、运算器中可以有哪些寄存器?为什么? 答:首先讲一下寄存器的概念,寄存器是运算中临时存放数据的部件,由触发器构成,用于存储最频繁使用的数据。 运算器中可以有存储数据的寄存器,用于存放一些中间运算结果等;运算器中还可以有保存指令的寄存器、保存运算状态的寄存器以及保存存储器地址的寄存器。 原因:数据和存储器地址等信息从存储器中取出后需要临时保存在运算器中,运算的中间结果在存放到存储器中之前也需要临时存放。 4、什么是存储器的容量?什么是数据字?什么是指令字? 答:存储器的容量是衡量存储器容纳信息能力的指标.主存储器中数据的存储一般是以字为单位时进行,存储器中存储的一个字的信息如果是数据则称为数据字,如果是指令则称为指令字. 5、存储器中存储的数据和程序是怎样区分的? 答:数据和指令都以二进制代码的形式存储在存储器中,从代码本身无法区别它是数据还是指令,CPU在取指令时把从存储器中读取的信息都看作指令,在读取数据时把从存储器中读取的信息都看成是数据。为了区分运算数据和程序中的指令,程序员在编写程序时需要知道每个数据的存储位置以及指令的存储位置,以避免将指令当作数据或者将数据当作指令。 6、存储器中可存放大量数据,怎样从中找出指定的数据? 答:为了寻找主存储器中的某一个数据的位置,需要给不同的存储位置指定一个编号,也就是编排地址.数据写入和读出通过指定一个地址进行,就可以从存储器中找出指定的数据. 7、某计算机的内存为64MB,试计算该内存有多少个字节? 答:存储器容量的换算关系:1KB=1024B,1MB=1024KB,1GB=1024MB,1TB=1024GB。 64MB=64×1024KB=64×1024×1024B=67108864B。 8、计算机的存储器为什么要有内存和外存之分?

计算机组成原理课程综述

合肥学院 计算机组成原理综述论文 题目计算机组成原理综述系部计算机科学与技术系专业网络工程 班级网工(2)班 学生姓名邓传君 指导教师张向东 2014 年12 月24 日 计算机组成原理课程综述

内容摘要: 计算机组成原理(COMPUTER ORGANIZATION)是依据计算机体系结构,在确定且分配了硬件子系统的概念结构和功能特性的基础上,设计计算机各部件的具体组成,以及它们之间的连接关系,实现机器指令级的各种功能和特性,这点上说计算机组成原理是计算机体系结构的逻辑实现。 关键词:存储、指令、CPU、控制器、微命令 一、计算机组成原理课程综述 计算机组成原理是计算机应用和计算机软件专业以及其他相关专业必修的专业基础课,它主要讨论计算机各组成部件的基本概念、基本结构、工作原理及设计方法。教学实践证明,通过对该课程的学习,对于建立整机概念,研究各功能部件的相互连接与相互作用,进行各功能部件的逻辑设计,都有着重要的意义。组成原理是计算机类专业的一门主干必修课程,它以层次结构的观点来叙述计算机各主要功能部件及组成原理;以数据信息和控制信息的表示、处理为主线来组织教学。课程内容按横向方式组织,即不是自始至终介绍某一特定计算机的组成和工作原理,而是从一般原理出发,结合实例加以说明。 二、计算机组成原理内容和基本原理 下面是我对这门课程知识点的理解: 1.计算机有运算器、存储器、控制器、输入设备、输出设备五大部件组成。 2.指令和数据以同等地位存放于存储器内,并可按地址寻访。 3.指令和数据均用二进制数表示。 4.指令由操作码和地址码组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置。 5.指令在存储器内按顺序存放。通常,指令是顺序执行的,在特定条件下,可根据运算结果或根据设定的条件改变执行顺序。 6.机器以运算器为中心,输入输出设备与存储器间的数据传送通过运算器完成。 典型的冯·诺依曼机是以运算器为中心的,现代的计算机已转化为以存储器为中心: 1.运算器用来完成算术运算和逻辑运算,并将运算的中间结果暂存在运算器内。 2.存储器用来存放数据和程序。 3.控制器用来控制、指挥程序和数据的输入、运行以及处理运算结果。 4.输入设备用来将人们熟悉的信息形式转换为机器能识别的信息形式。

相关文档
相关文档 最新文档