文档库 最新最全的文档下载
当前位置:文档库 › 集成电路设计流程

集成电路设计流程

集成电路设计流程
集成电路设计流程

集成电路设计流程

. 集成电路设计方法

. 数字集成电路设计流程

. 模拟集成电路设计流程

. 混合信号集成电路设计流程

. SoC芯片设计流程

State Key Lab of ASIC & Systems, Fudan University

集成电路设计流程

. 集成电路设计方法

. 数字集成电路设计流程

. 模拟集成电路设计流程

. 混合信号集成电路设计流程

. SoC芯片设计流程

State Key Lab of ASIC & Systems, Fudan University

正向设计与反向设计

State Key Lab of ASIC & Systems, Fudan University

自顶向下和自底向上设计

State Key Lab of ASIC & Systems, Fudan University

Top-Down设计

–Top-Down流程在EDA工具支持下逐步成为

IC主要的设计方法

–从确定电路系统的性能指标开始,自系

统级、寄存器传输级、逻辑级直到物理

级逐级细化并逐级验证其功能和性能

State Key Lab of ASIC & Systems, Fudan University

Top-Down设计关键技术

. 需要开发系统级模型及建立模型库,这些行

为模型与实现工艺无关,仅用于系统级和RTL

级模拟。

. 系统级功能验证技术。验证系统功能时不必

考虑电路的实现结构和实现方法,这是对付

设计复杂性日益增加的重要技术,目前系统

级DSP模拟商品化软件有Comdisco,Cossap等,

它们的通讯库、滤波器库等都是系统级模型

库成功的例子。

. 逻辑综合--是行为设计自动转换到逻辑结构

设计的重要步骤

State Key Lab of ASIC & Systems, Fudan University

bottom-Up

. 自底向上(Bottom-Up)设计是集成电路和PCB

板的传统设计方法,该方法盛行于七、八十年

. 设计从逻辑级开始,采用逻辑单元和少数行

为级模块构成层次式模型进行层次设计,从

门级开始逐级向上组成RTL级模块,再由若

于RTL模块构成电路系统

. 对于集成度在一万门以内的ASIC设计是行之

有效的,无法完成十万门以上的设计

. 设计效率低、周期长,一次设计成功率低

State Key Lab of ASIC & Systems, Fudan University

Top-Down设计与Bottom-Up设计比较

. 设计从行为到结构再到物理级,每一步部进

都进行验证,提高了一次设计的成功率

..提高了设计效率,缩短了IC的开发周期,

降低了产品的开发成本

. 设计成功的电路或其中的模块可以放入以后

的设计中提高了设计的再使用率(Reuse)

State Key Lab of ASIC & Systems, Fudan University

基于平台的设计方法

..ADD:Area Driving

Design面积驱动设计

..TDD:Time Driving

Design时序驱动的设计

..BBD:Block Based

Design

..PBD:Platform Based

Design,开发系列产品,基

于平台的设计方法

State Key Lab of ASIC & Systems, Fudan University State Key Lab of ASIC & Systems, Fudan University State Key Lab of ASIC & Systems, Fudan University

集成电路设计流程

. 集成电路设计方法

..数字集成电路设计流程

..模拟集成电路设计流程

..混合信号集成电路设计流程

..SoC芯片设计流程

State Key Lab of ASIC & Systems, Fudan University

State Key Lab of ASIC & Systems, Fudan University 数字集成电路设计流程数字集成电路设计流程

数字集成电路设计流程

1. 设计输入

电路图或硬件描述语言

2. 逻辑综合

处理硬件描述语言,产生电路网表

3. 系统划分

将电路分成大小合适的块

4. 功能仿真

State Key Lab of ASIC & Systems, Fudan University

数字集成电路设计流程

5.布图规划

芯片上安排各宏模块的位置

6.布局

安排宏模块中标准单元的位置

7.布线

宏模块与单元之间的连接

8.寄生参数提取

提取连线的电阻、电容

9.版图后仿真

检查考虑连线后功能和时序是否正确

State Key Lab of ASIC & Systems, Fudan University

数字集成电路设计工具

. 主要的EDA vendor

– Synopsys:逻辑

综合,仿真器,

DFT

– Cadence:版图

设计工具,仿真

器等

– Avanti:版图设

计工具

– Mentor:DFT,

物理验证工具

– Magma: BlastRTL, Blast Fusion

State Key Lab of ASIC & Systems, Fudan University

选择设计工具的原则

..只用“sign-off”的工具

–保证可靠性,兼容性

..必须针对芯片的特点

–不同的芯片需要不同的设计工具

..了解设计工具的能力

–速度、规模等

State Key Lab of ASIC & Systems, Fudan University

设计工具的选择

..设计输入

–任何文本编辑工具

– Ultraedit, vi, 仿真器自带编辑器…

..RTL级功能仿真

– Modelsim (Mentor),

– VCS/VSS( Synopsys )

– NC-Verilog( Cadence)

– Verilog-XL ( Cadence)

State Key Lab of ASIC & Systems, Fudan University

设计工具的选择

..逻辑综合

– Cadence: Ambit, PKS;

– Synopsys: Design Compiler;

– Magma: Blast RTL

..物理综合

– Synopsys: Physical CompilerMagma: Blast Fusion State Key Lab of ASIC & Systems, Fudan University

设计工具的选择

. 形式验证工具

– Formality(Synopsys)

– FormalPro(Mentor)

. Floorplanning /布局/布线

– Synopsys: Apollo, Astro,

– Cadence: SoC Encounter, Silicon Ensemble

. 参数提取

. Cadence: Nautilus DC

. Synopsys: Star-RC XT

. 时序验证

– Cadence: Pearl

Synopsys: PrimeTime

State Key Lab of ASIC & Systems, Fudan University

设计工具的选择

. DRC/LVS

– Dracula (Cadence)

– Calibre (Mentor )

– Hercules (Synopsys)

. 可测试性设计(DFT)编译器和自动测试模式生成

– Synopsys: DFT编译器,DFT Compiler;自动测试生

成(ATPG) 与故障仿真, Tetra MAX

– Mentor: FastScan

. 晶体管级功耗模拟

– Synopsys: PowerMill

State Key Lab of ASIC & Systems, Fudan University

中国大陆EDA 工具的使用状况

State Key Lab of ASIC & Systems, Fudan University

集成电路设计流程

..数字集成电路设计流程

..模拟集成电路设计流程

..混合信号集成电路设计流程

..SoC芯片设计流程

State Key Lab of ASIC & Systems, Fudan University

模拟集成电路设计流程

State Key Lab of ASIC & Systems, Fudan University Schematic

Entry

Simulation

Layout

entry

RC

extraction

Postlayout

simulation

Start

Finish

Full-chip

DRC/LVS

Online DRC

“ 集成电路导论” 扬之廉

State Key Lab of ASIC & Systems, Fudan University State Key Lab of ASIC & Systems, Fudan University State Key Lab of ASIC & Systems, Fudan University

State Key Lab of ASIC & Systems, Fudan University

设计工具的选择

..Circuit:

– Cadence Virtuoso Composer (Cadence)

..Simulation

– Synopsys: NanoSim, HSPICE

https://www.wendangku.net/doc/906085277.html,yout

– Cadence Virtuoso (Cadence)

State Key Lab of ASIC & Systems, Fudan University

集成电路设计流程

..数字集成电路设计流程

..模拟集成电路设计流程

..混合信号集成电路设计流程

..SoC芯片设计流程

State Key Lab of ASIC & Systems, Fudan University

混合信号芯片设计流程

..首先,进行模拟/数字划分

..然后,分别设计模拟和数字部分

..最后,将模拟/数字模块协同仿真,并进行

版图拼接,验证

State Key Lab of ASIC & Systems, Fudan University

State Key Lab of ASIC & Systems, Fudan University 混合信号芯片设计流程

State Key Lab of ASIC & Systems, Fudan University 集成电路设计流程

..数字集成电路设计流程

..模拟集成电路设计流程

..混合信号集成电路设计流程

.. SoC芯片设计流程

State Key Lab of ASIC & Systems, Fudan University SoC芯片设计流程

.. SOC以嵌入式系统为核心,集软硬件于一体,并

追求产品系统最大包容的集成

SoC芯片设计流程

..软硬件协同设计

State Key Lab of ASIC & Systems, Fudan University

SoC芯片设计流程

..芯片规划、划分

..分系统之间的连线最少。

..功能相关性、数据相关性、操作相关性

State Key Lab of ASIC & Systems, Fudan University

State Key Lab of ASIC & Systems, Fudan University

State Key Lab of ASIC & Systems, Fudan University SoC芯片设计流程

..系统规划、划分

–软硬件划分

–模拟数字划分

–挑选IP模块

..各模块按上述流程设计

..验证和测试

–软硬件协同验证

–模拟/数字混合仿真

State Key Lab of ASIC & Systems, Fudan University SoC设计的挑战

..验证工作高度复杂

..芯片的可测性设计

..功耗分析

..互连、串扰、IR drop

..热分析

..…

总结

..不同的电路,不同的设计流程

–电路的种类

–电路的规模

..设计流程不断演变

– IP reuse

– System Level synthesize

State Key Lab of ASIC & Systems, Fudan University

1) 、结构及电气规定。

2)、RTL级代码设计和仿真测试平台文件准备。

3)、为具有存储单元的模块插入BIST(Design For test 设计)。

4)、为了验证设计功能,进行完全设计的动态仿真。

5)、设计环境设置。包括使用的设计库和其他一些环境变量。

6)、使用Design Compiler工具,约束和综合设计,并且加入扫描链(或者JTAG)。7)、使用Design Compiler自带静态时序分析器,进行模块级静态时序分析。

8)、使用Formality工具,进行RTL级和综合后门级网表的Formal Verification。9)、版图布局布线之前,使用PrimeTime工具进行整个设计的静态时序分析。10)、将时序约束前标注到版图生成工具。

11)、时序驱动的单元布局,时钟树插入和全局布线。

12)、将时钟树插入到DC的原始设计中。

13)、使用Formality,对综合后网表和插入时钟树网表进行Formal Verification。14)、从全局布线后的版图中提取出估算的时间延时信息。

15)、将估算的时间延时信息反标注到Design Compiler或者Primetime。16)、在Primetime中进行静态时序分析。

17)、在Design Compiler中进行设计优化。

18)、设计的详细布线。

19)、从详细布线的设计中提取出实际时间延时信息。

20)、将提取出的实际时间延时信息反标注到Design Compiler或者Primetime中。21)、使用Primetime进行版图后的静态时序分析。

22)、在Design Compiler中进行设计优化(如果需要)。

23)、进行版图后带时间信息的门级仿真。

24)、LVS和DRC验证,然后流片。设计和仿真测试平台文件准备

数字集成电路设计_笔记归纳..

第三章、器件 一、超深亚微米工艺条件下MOS 管主要二阶效应: 1、速度饱和效应:主要出现在短沟道NMOS 管,PMOS 速度饱和效应不显著。主要原因是 TH G S V V -太大。在沟道电场强度不高时载流子速度正比于电场强度(μξν=) ,即载流子迁移率是常数。但在电场强度很高时载流子的速度将由于散射效应而趋于饱和,不再随电场 强度的增加而线性增加。此时近似表达式为:μξυ=(c ξξ<),c s a t μξυυ==(c ξξ≥) ,出现饱和速度时的漏源电压D SAT V 是一个常数。线性区的电流公式不变,但一旦达到DSAT V ,电流即可饱和,此时DS I 与GS V 成线性关系(不再是低压时的平方关系)。 2、Latch-up 效应:由于单阱工艺的NPNP 结构,可能会出现VDD 到VSS 的短路大电流。 正反馈机制:PNP 微正向导通,射集电流反馈入NPN 的基极,电流放大后又反馈到PNP 的基极,再次放大加剧导通。 克服的方法:1、减少阱/衬底的寄生电阻,从而减少馈入基极的电流,于是削弱了正反馈。 2、保护环。 3、短沟道效应:在沟道较长时,沟道耗尽区主要来自MOS 场效应,而当沟道较短时,漏衬结(反偏)、源衬结的耗尽区将不可忽略,即栅下的一部分区域已被耗尽,只需要一个较小的阈值电压就足以引起强反型。所以短沟时VT 随L 的减小而减小。 此外,提高漏源电压可以得到类似的效应,短沟时VT 随VDS 增加而减小,因为这增加了反偏漏衬结耗尽区的宽度。这一效应被称为漏端感应源端势垒降低。

4、漏端感应源端势垒降低(DIBL): VDS增加会使源端势垒下降,沟道长度缩短会使源端势垒下降。VDS很大时反偏漏衬结击穿,漏源穿通,将不受栅压控制。 5、亚阈值效应(弱反型导通):当电压低于阈值电压时MOS管已部分导通。不存在导电沟道时源(n+)体(p)漏(n+)三端实际上形成了一个寄生的双极性晶体管。一般希望该效应越小越好,尤其在依靠电荷在电容上存储的动态电路,因为其工作会受亚阈值漏电的严重影响。 绝缘体上硅(SOI) 6、沟长调制:长沟器件:沟道夹断饱和;短沟器件:载流子速度饱和。 7、热载流子效应:由于器件发展过程中,电压降低的幅度不及器件尺寸,导致电场强度提高,使得电子速度增加。漏端强电场一方面引起高能热电子与晶格碰撞产生电子空穴对,从而形成衬底电流,另一方面使电子隧穿到栅氧中,形成栅电流并改变阈值电压。 影响:1、使器件参数变差,引起长期的可靠性问题,可能导致器件失效。2、衬底电流会引入噪声、Latch-up、和动态节点漏电。 解决:LDD(轻掺杂漏):在漏源区和沟道间加一段电阻率较高的轻掺杂n-区。缺点是使器件跨导和IDS减小。 8、体效应:衬底偏置体效应、衬底电流感应体效应(衬底电流在衬底电阻上的压降造成衬偏电压)。 二、MOSFET器件模型 1、目的、意义:减少设计时间和制造成本。 2、要求:精确;有物理基础;可扩展性,能预测不同尺寸器件性能;高效率性,减少迭代次数和模拟时间 3、结构电阻:沟道等效电阻、寄生电阻 4、结构电容: 三、特征尺寸缩小 目的:1、尺寸更小;2、速度更快;3、功耗更低;4、成本更低、 方式: 1、恒场律(全比例缩小),理想模型,尺寸和电压按统一比例缩小。 优点:提高了集成密度 未改善:功率密度。 问题:1、电流密度增加;2、VTH小使得抗干扰能力差;3、电源电压标准改变带来不便;4、漏源耗尽层宽度不按比例缩小。 2、恒压律,目前最普遍,仅尺寸缩小,电压保持不变。 优点:1、电源电压不变;2、提高了集成密度 问题:1、电流密度、功率密度极大增加;2、功耗增加;3、沟道电场增加,将产生热载流子效应、速度饱和效应等负面效应;4、衬底浓度的增加使PN结寄生电容增加,速度下降。 3、一般化缩小,对今天最实用,尺寸和电压按不同比例缩小。 限制因素:长期使用的可靠性、载流子的极限速度、功耗。

集成电路设计基础复习

1、解释基本概念:集成电路,集成度,特征尺寸 参考答案: A、集成电路(IC:integrated circuit)是指通过一系列特定的加工工艺,将晶体管、二极管等有源器件和电阻、电容等无源器件,按照一定的电路互连,“集成”在一块半导体晶片(如硅或砷化镓)上,封装在一个外壳内,执行特定电路或系统功能的集成块。 B、集成度是指在每个芯片中包含的元器件的数目。 C、特征尺寸是代表工艺光刻条件所能达到的最小栅长(L)尺寸。 2、写出下列英文缩写的全称:IC,MOS,VLSI,SOC,DRC,ERC,LVS,LPE 参考答案: IC:integrated circuit;MOS:metal oxide semiconductor;VLSI:very large scale integration;SOC:system on chip;DRC:design rule check;ERC:electrical rule check;LVS:layout versus schematic;LPE:layout parameter extraction 3、试述集成电路的几种主要分类方法 参考答案: 集成电路的分类方法大致有五种:器件结构类型、集成规模、使用的基片材料、电路功能以及应用领域。根据器件的结构类型,通常将其分为双极集成电路、MOS集成电路和Bi-MOS 集成电路。按集成规模可分为:小规模集成电路、中规模集成电路、大规模集成电路、超大规模集成电路、特大规模集成电路和巨大规模集成电路。按基片结构形式,可分为单片集成电路和混合集成电路两大类。按电路的功能将其分为数字集成电路、模拟集成电路和数模混合集成电路。按应用领域划分,集成电路又可分为标准通用集成电路和专用集成电路。 4、试述“自顶向下”集成电路设计步骤。 参考答案: “自顶向下”的设计步骤中,设计者首先需要进行行为设计以确定芯片的功能;其次进行结构设计;接着是把各子单元转换成逻辑图或电路图;最后将电路图转换成版图,并经各种验证后以标准版图数据格式输出。 5、比较标准单元法和门阵列法的差异。 参考答案:

集成电路设计方法的发展历史

集成电路设计方法的发展历史 、发展现状、及未来主流设 计方法报告 集成电路是一种微型电子器件或部件,为杰克·基尔比发明,它采用一定的工艺,把一个电路中所需的晶体管、二极管、电阻、电容和电感等元件及布线互连一起,制作在一小块或几小块半导体晶片或介质基片上,然后封装在一个管壳内,成为具有所需电路功能的微型结构;其中所有元件在结构上已组成一个整体,使电子元件向着微小型化、低功耗和高可靠性方面迈进了一大步。集成电路具有体积小,重量轻,引出线和焊接点少,寿命长,可靠性高,性能好等优点,同时成本低,便于大规模生产。它不仅在工、民用电子设备如收录机、电视机、计算机等方面得到广泛的应用,同时在军事、通讯、遥控等方面也得到广泛的应用。用集成电路来装配电子设备,其装配密度比晶体管可提高几十倍至几千倍,设备的稳定工作时间也可大大提高。 一、集成电路的发展历史: 1947年:贝尔实验室肖克莱等人发明了晶体管,这是微电子技术发展中第一个里程碑; 1950年:结型晶体管诞生; 1950年: R Ohl和肖特莱发明了离子注入工艺; 1951

年:场效应晶体管发明; 1956年:C S Fuller发明了扩散工艺; 1958年:仙童公司Robert Noyce与德仪公司基尔比间隔数月分别发明了集成电路,开创了世界微电子学的历史; 1960年:H H Loor和E Castellani发明了光刻工艺;1962年:美国RCA公司研制出MOS场效应晶体管; 1963年:和首次提出CMOS技术,今天,95%以上的集成电路芯片都是基于CMOS工艺; 1964年:Intel摩尔提出摩尔定律,预测晶体管集成度将会每18个月增加1倍; 1966年:美国RCA公司研制出CMOS集成电路,并研制出第一块门阵列; 1967年:应用材料公司成立,现已成为全球最大的半导体设备制造公司; 1971年:Intel推出1kb动态随机存储器,标志着大规模集成电路出现; 1971年:全球第一个微处理器4004Intel公司推出,采用的是MOS工艺,这是一个里程碑式的发明; 1974年:RCA公司推出第一个CMOS微处理器1802; 1976年:16kb DRAM和4kb SRAM问世; 1978年:64kb动态随机存储器诞生,不足平方厘米的硅片上集成了14万个晶体管,标志着超大规模集成电路时

专用集成电路

实验一 EDA软件实验 一、实验目的: 1、掌握Xilinx ISE 9.2的VHDL输入方法、原理图文件输入和元件库的调用方法。 2、掌握Xilinx ISE 9.2软件元件的生成方法和调用方法、编译、功能仿真和时序仿真。 3、掌握Xilinx ISE 9.2原理图设计、管脚分配、综合与实现、数据流下载方法。 二、实验器材: 计算机、Quartus II软件或xilinx ISE 三、实验内容: 1、本实验以三线八线译码器(LS74138)为例,在Xilinx ISE 9.2软件平台上完成设计电 路的VHDL文本输入、语法检查、编译、仿真、管脚分配和编程下载等操作。下载芯片选择Xilinx公司的CoolRunner II系列XC2C256-7PQ208作为目标仿真芯片。 2、用1中所设计的的三线八线译码器(LS74138)生成一个LS74138元件,在Xilinx ISE 9.2软件原理图设计平台上完成LS74138元件的调用,用原理图的方法设计三线八线译 码器(LS74138),实现编译,仿真,管脚分配和编程下载等操作。 四、实验步骤: 1、三线八线译码器(LS 74138)VHDL电路设计 (1)三线八线译码器(LS74138)的VHDL源程序的输入 打开Xilinx ISE 6.2编程环境软件Project Navigator,执行“file”菜单中的【New Project】命令,为三线八线译码器(LS74138)建立设计项目。项目名称【Project Name】为“Shiyan”,工程建立路径为“C:\Xilinx\bin\Shiyan1”,其中“顶层模块类型(Top-Level Module Type)”为硬件描述语言(HDL),如图1所示。 图1 点击【下一步】,弹出【Select the Device and Design Flow for the Project】对话框,在该对话框内进行硬件芯片选择与工程设计工具配置过程。

数字集成电路设计流程介绍

2002 年版权,复旦大学专用集成电路与系统国家重点实验室(设计流程1) 数字集成电路设计流程介绍 唐长文 2002年7月8日

2002 年版权,复旦大学专用集成电路与系统国家重点实验室(设计流程2) 内容 一、设计流程介绍1、流程图及设计步骤2、EDA软件 二、硬件描述语言简介 1、传统自下向上的设计方法 2、基于硬件描述语言的自顶向下的设计方法 3、硬件描述语言--VHDL介绍 4、VHDL语言设计实例 三、数字系统的结构设计-行为级或RTL级设计1、系统规范2、系统框架 3、系统源代码设计 4、系统行为级仿真 四、数字系统的电路设计--门级电路设计1、FPGA逻辑综合2、ASIC逻辑综合3、综合后仿真 五、数字系统的版图设计1、FPGA器件实现 2、基于标准单元ASIC版图的自动化生成 3、版图后仿真 六、版图验证和管子级仿真1、DRC&LVS 2、Star_sim管子级仿真

一、设计流程介绍 C语言仿真Matlab仿真COSSAP仿真

2002 年版权,复旦大学专用集成电路与系统国家重点实验室(设计流程4) 数字集成电路设计主要分为四大步:1、行为级、RTL 级源代码设计2、电路设计-门级电路设计(1)FPGA 逻辑综合(2)ASIC 逻辑综合3、版图设计 (1)FPGA 版图布局布线设计(器件实现) (2)ASIC 版图布局布线设计(基于标准单元库)4、版图验证(DRC&LVS) ?设计的步骤

2002 年版权,复旦大学专用集成电路与系统国家重点实验室 (设计流程5) ?EDA 软件 (1)FPGA 设计需要的软件源代码设计和仿真9Active-HDL FPGA 逻辑综合 9Synopsys FPGA Express 、Synplicity Synplify 、 Examplar LeonardoSpectrum 、XST(Xilinx Synthesis Tech)FPGA 器件实现 9Xilinx Foundation ISE 、Altera MaxplusII

集成电路设计方法--复习提纲

集成电路设计方法--复习提纲 2、实际约束:设计最优化约束:建立时钟,输入延时,输出延时,最大面积 设计规则约束:最大扇出,最大电容 39.静态时序分析路径的定义 静态时序分析通过检查所有可能路径上的时序冲突来验证芯片设计的时序正确性。时序路径的起点是一个时序逻辑单元的时钟端,或者是整个电路的输入端口,时序路径的终点是下一个时序逻辑单元的数据输入端,或者是整个电路的输出端口。 40.什么叫原码、反码、补码? 原码:X为正数时,原码和X一样;X为负数时,原码是在X的符号位上写“1”反码:X为正数是,反码和原码一样;X为负数时,反码为原码各位取反 补码:X为正数时,补码和原码一样;X为负数时,补码在反码的末位加“1” 41.为什么说扩展补码的符号位不影响其值? SSSS SXXX = 1111 S XXX + 1 —— 2n2n12n1例如1XXX=11XXX,即为XXX-23=XXX+23-24. 乘法器主要解决什么问题? 1.提高运算速度2.符号位的处理 43.时钟网络有哪几类?各自优缺点? 1. H树型的时钟

网络: 优点:如果时钟负载在整个芯片内部都很均衡,那么H 树型时钟网络就没有系统时钟偏斜。缺点:不同分支上的叶节点之间可能会出现较大的随机偏差、漂移和抖动。 2. 网格型的时钟网络 优点:网格中任意两个相近节点之间的电阻很小,所以时钟偏差也很小。缺点:消耗大量的金属资源,产生很大的状态转换电容,所以功耗较大。 3.混合型时钟分布网络优点:可以提供更小的时钟偏斜,同时,受负载的影响比较小。缺点:网格的规模较大,对它的建模、自动生成可能会存在一些困难。 总线的传输机制? 1. 早期:脉冲式机制和握手式机制。 脉冲式机制:master发起一个请求之后,slave在规定的t时间内返回数据。 握手式机制:master发出一个请求之后,slave在返回数据的时候伴随着一个确认信号。这样子不管外设能不能在规定的t时间内返回数据,master都能得到想要的数据。 2. 随着CPU频率的提高,总线引入了wait的概念 如果slave能在t时间内返回数据,那么这时候不能把wait信号拉高,如果slave不能在t时间内返回数据,那么必须在t时间内将wait信号拉高,直到slave将可以返回

集成电路设计流程

集成电路设计流程 . 集成电路设计方法 . 数字集成电路设计流程 . 模拟集成电路设计流程 . 混合信号集成电路设计流程 . SoC芯片设计流程 State Key Lab of ASIC & Systems, Fudan University 集成电路设计流程 . 集成电路设计方法 . 数字集成电路设计流程 . 模拟集成电路设计流程 . 混合信号集成电路设计流程 . SoC芯片设计流程 State Key Lab of ASIC & Systems, Fudan University 正向设计与反向设计 State Key Lab of ASIC & Systems, Fudan University 自顶向下和自底向上设计 State Key Lab of ASIC & Systems, Fudan University Top-Down设计 –Top-Down流程在EDA工具支持下逐步成为 IC主要的设计方法 –从确定电路系统的性能指标开始,自系 统级、寄存器传输级、逻辑级直到物理 级逐级细化并逐级验证其功能和性能 State Key Lab of ASIC & Systems, Fudan University Top-Down设计关键技术 . 需要开发系统级模型及建立模型库,这些行 为模型与实现工艺无关,仅用于系统级和RTL 级模拟。 . 系统级功能验证技术。验证系统功能时不必 考虑电路的实现结构和实现方法,这是对付 设计复杂性日益增加的重要技术,目前系统 级DSP模拟商品化软件有Comdisco,Cossap等, 它们的通讯库、滤波器库等都是系统级模型 库成功的例子。 . 逻辑综合--是行为设计自动转换到逻辑结构 设计的重要步骤 State Key Lab of ASIC & Systems, Fudan University

专用集成电路AD的设计

A/D转换器的设计 一.实验目的: (1)设计一个简单的LDO稳压电路 (2)掌握Cadence ic平台下进行ASIC设计的步骤; (3)了解专用集成电路及其发展,掌握其设计流程; 二.A/D转换器的原理: A/D转换器是用来通过一定的电路将模拟量转变为数字量。 模拟量可以是电压、电流等电信号,也可以是压力、温度、湿度、位移、声音等非电信号。但在A/D转换前,输入到A/D转换器的输入信号必须经各种传感器把各种物理量转换成电压信号。符号框图如下: 数字输出量 常用的几种A/D器为; (1):逐次比较型 逐次比较型AD由一个比较器和DA转换器通过逐次比较逻辑构成,从MSB 开始,顺序地对每一位将输入电压与内置DA转换器输出进行比较,经n次比较而输出数字值。其电路规模属于中等。其优点是速度较高、功耗低,在低分辩率(<12位)时价格便宜,但高精度(>12位)时价格很高。 (2): 积分型 积分型AD工作原理是将输入电压转换成时间(脉冲宽度信号)或频率(脉冲频率),然后由定时器/计数器获得数字值。其优点是用简单电路就能获得高分辨率,但缺点是由于转换精度依赖于积分时间,因此转换速率极低。初期的单片AD转换器大多采用积分型,现在逐次比较型已逐步成为主流。 (3):并行比较型/串并行比较型

并行比较型AD采用多个比较器,仅作一次比较而实行转换,又称FLash(快速)型。由于转换速率极高,n位的转换需要2n-1个比较器,因此电路规模也极大,价格也高,只适用于视频AD转换器等速度特别高的领域。 串并行比较型AD结构上介于并行型和逐次比较型之间,最典型的是由2个n/2位的并行型AD转换器配合DA转换器组成,用两次比较实行转换,所以称为Half flash(半快速)型。还有分成三步或多步实现AD转换的叫做分级型AD,而从转换时序角度又可称为流水线型AD,现代的分级型AD中还加入了对多次转换结果作数字运算而修正特性等功能。这类AD速度比逐次比较型高,电路规模比并行型小。 一.A/D转换器的技术指标: (1)分辨率,指数字量的变化,一个最小量时模拟信号的变化量,定义为满刻度与2^n的比值。分辨率又称精度,通常以数字信号的位数来表示。 (2)转换速率,是指完成一次从模拟转换到数字的AD转换所需的时间的倒数。积分型AD的转换时间是毫秒级属低速AD,逐次比较型AD是微秒级,属中速AD,全并行/串并行型AD可达到纳秒级。采样时间则是另外一个概念,是指两次转换的间隔。为了保证转换的正确完成,采样速率必须小于或等于转换速率。因此有人习惯上将转换速率在数值上等同于采样速率也是可以接受的。常用单位ksps 和Msps,表示每秒采样千/百万次。 (3)量化误差,由于AD的有限分辩率而引起的误差,即有限分辩率AD的阶梯状转移特性曲线与无限分辩率AD(理想AD)的转移特性曲线(直线)之间的最大偏差。通常是1 个或半个最小数字量的模拟变化量,表示为1LSB、1/2LSB。(4)偏移误差,输入信号为零时输出信号不为零的值,可外接电位器调至最小。(5)满刻度误差,满度输出时对应的输入信号与理想输入信号值之差。 (6)线性度,实际转换器的转移函数与理想直线的最大偏移,不包括以上三种误差。 三、实验步骤 此次实验的A/D转换器用的为逐次比较型,原理图如下:

数字IC设计流程及工具介绍

数字IC设计流程及工具介绍 IC的设计过程可分为两个部分,分别为:前端设计(也称逻辑设计)和后端设计(也称物理设计),这两个部分并没有统一严格的界限,凡涉及到与工艺有关的设计可称为后端设计。 前端设计的主要流程: 1、规格制定 芯片规格,也就像功能列表一样,是客户向芯片设计公司(称为Fabless,无晶圆设计公司)提出的设计要求,包括芯片需要达到的具体功能和性能方面的要求。 2、详细设计 Fabless根据客户提出的规格要求,拿出设计解决方案和具体实现架构,划分模块功能。 3、HDL编码 使用硬件描述语言(VHDL,Verilog HDL,业界公司一般都是使用后者)将模块功能以代码来描述实现,也就是将实际的硬件电路功能通过HDL语言描述出来,形成RTL(寄存器传输级)代码。 4、仿真验证 仿真验证就是检验编码设计的正确性,检验的标准就是第一步制定的规格。看设计是否精确地满足了规格中的所有要求。规格是设计正确与否的黄金标准,一切违反,不符合规格要求的,就需要重新修改设计和编码。设计和仿真验证是反复迭代的过程,直到验证结果显示完全符合规格标准。仿真验证工具Mentor 公司的Modelsim,Synopsys的VCS,还有Cadence的NC-Verilog均可以对RTL 级的代码进行设计验证,该部分个人一般使用第一个-Modelsim。该部分称为前仿真,接下来逻辑部分综合之后再一次进行的仿真可称为后仿真。 5、逻辑综合――Design Compiler 仿真验证通过,进行逻辑综合。逻辑综合的结果就是把设计实现的HDL代码翻译成门级网表netlist。综合需要设定约束条件,就是你希望综合出来的电路在面积,时序等目标参数上达到的标准。逻辑综合需要基于特定的综合库,不同的库中,门电路基本标准单元(standard cell)的面积,时序参数是不一样的。所以,选用的综合库不一样,综合出来的电路在时序,面积上是有差异的。一般来说,综合完成后需要再次做仿真验证(这个也称为后仿真,之前的称为前仿真)逻辑综合工具Synopsys的Design Compiler,仿真工具选择上面的三种仿真工具均可。 6、静态时序分析——STA Static Timing Analysis(STA),静态时序分析,这也属于验证范畴,它主要是在时序上对电路进行验证,检查电路是否存在建立时间(setup time)和保持时间(hold time)的违例(violation)。这个是数字电路基础知识,一个寄存器出现这两个时序违例时,是没有办法正确采样数据和输出数据的,所以以寄存器为基础的数字芯片功能肯定会出现问题。STA工具有Synopsys的Prime Time。 7、形式验证

集成电路的设计方法探讨

集成电路的设计方法探讨 摘要:21世纪,信息化社会到来,时代的进步和发展离不开电子产品的不断进步,微电子技术对于各行各业的发展起到了极大的推进作用。集成电路(integratedcircuit,IC)是一种重要的微型电子器件,在包括数码产品、互联网、交通等领域都有广泛的应用。介绍集成电路的发展背景和研究方向,并基于此初步探讨集成电路的设计方法。 关键词集成电路设计方法 1集成电路的基本概念 集成电路是将各种微电子原件如晶体管、二极管等组装在半导体晶体或介质基片上,然后封装在一个管壳内,使之具备特定的电路功能。集成电路的组成分类:划分集成电路种类的方法有很多,目前最常规的分类方法是依据电路的种类,分成模拟集成电路、数字集成电路和混合信号集成电路。模拟信号有收音机的音频信号,模拟集成电路就是产生、放大并处理这类信号。与之相类似的,数字集成电路就是产生、放大和处理各种数字信号,例如DVD重放的音视频信号。此外,集成电路还可以按导电类型(双极型集成电路和单极型集成电路)分类;按照应用领域(标准通用集成电路和专用集成电路)分类。集成电路的功能作用:集成电路具有微型化、低能耗、寿命长等特点。主要优势在于:集成电路的体积和质量小;将各种元器件集中在一起不仅减少了外界电信号的干扰,而且提高了运行

速度和产品性能;应用方便,现在已经有各种功能的集成电路。基于这些优异的特性,集成电路已经广泛运用在智能手机、电视机、电脑等数码产品,还有军事、通讯、模拟系统等众多领域。 2集成电路的发展 集成电路的起源及发展历史:众所周知,微电子技术的开端在1947年晶体管的发明,11年后,世界上第一块集成电路在美国德州仪器公司组装完成,自此之后相关的技术(如结型晶体管、场效应管、注入工艺)不断发展,逐渐形成集成电路产业。美国在这一领域一直处于世界领先地位,代表公司有英特尔公司、仙童公司、德州仪器等大家耳熟能详的企业。集成电路的发展进程:我国集成电路产业诞生于六十年代,当时主要是以计算机和军工配套为目标,发展国防力量。在上世纪90年代,我国就开始大力发展集成电路产业,但由于起步晚、国外的技术垄断以及相关配套产业也比较落后,“中国芯”始终未能达到世界先进水平。现阶段我国工业生产所需的集成电路主要还是依靠进口,从2015年起我国集成电路进口额已经连续三年比原油还多,2017年的集成电路进口额超过7200亿元。因此,在2018年政府工作报告中把推动集成电路产业发展放在了五大突出产业中的首位,并且按照国家十三五规划,我国集成电路产业产值到2020年将会达到一万亿元。中国比较大型的集成电路设计制造公司有台积电、海思、中兴等,目前已在一些技术领域取得了不错的成就。集成电路的发展方向:提到集成电路的发展,就必须要说到摩尔定律:集成度每18个月翻一番。而现今正处在

数字集成电路设计实验报告

哈尔滨理工大学数字集成电路设计实验报告 学院:应用科学学院 专业班级:电科12 - 1班 学号:32 姓名:周龙 指导教师:刘倩 2015年5月20日

实验一、反相器版图设计 1.实验目的 1)、熟悉mos晶体管版图结构及绘制步骤; 2)、熟悉反相器版图结构及版图仿真; 2. 实验内容 1)绘制PMOS布局图; 2)绘制NMOS布局图; 3)绘制反相器布局图并仿真; 3. 实验步骤 1、绘制PMOS布局图: (1) 绘制N Well图层;(2) 绘制Active图层; (3) 绘制P Select图层; (4) 绘制Poly图层; (5) 绘制Active Contact图层;(6) 绘制Metal1图层; (7) 设计规则检查;(8) 检查错误; (9) 修改错误; (10)截面观察; 2、绘制NMOS布局图: (1) 新增NMOS组件;(2) 编辑NMOS组件;(3) 设计导览; 3、绘制反相器布局图: (1) 取代设定;(2) 编辑组件;(3) 坐标设定;(4) 复制组件;(5) 引用nmos组件;(6) 引用pmos组件;(7) 设计规则检查;(8) 新增PMOS基板节点组件;(9) 编辑PMOS基板节点组件;(10) 新增NMOS基板接触点; (11) 编辑NMOS基板节点组件;(12) 引用Basecontactp组件;(13) 引用Basecontactn 组件;(14) 连接闸极Poly;(15) 连接汲极;(16) 绘制电源线;(17) 标出Vdd 与GND节点;(18) 连接电源与接触点;(19) 加入输入端口;(20) 加入输出端口;(21) 更改组件名称;(22) 将布局图转化成T-Spice文件;(23) T-Spice 模拟; 4. 实验结果 nmos版图

集成电路设计基础 课后答案

班级:通信二班姓名:赵庆超学号:20071201297 7,版图设计中整体布局有哪些注意事项? 答:1版图设计最基本满足版图设计准则,以提高电路的匹配性能,抗干扰性能和高频工作性能。 2 整体力求层次化设计,即按功能将版图划分为若干子单元,每个子单元又可能包含若干子单元,从最小的子单元进行设计,这些子单元又被调用完成较大单元的设计,这种方法大大减少了设计和修改的工作量,且结构严谨,层次清晰。 3 图形应尽量简洁,避免不必要的多边形,对连接在一起的同一层应尽量合并,这不仅可减小版图的数据存储量,而且版图一模了然。 4 在构思版图结构时,除要考虑版图所占的面积,输入和输出的合理分布,较小不必要的寄生效应外,还应力求版图与电路原理框图保持一致(必要时修改框图画法),并力求版图美观大方。 8,版图设计中元件布局布线方面有哪些注意事项? 答:1 各不同布线层的性能各不相同,晶体管等效电阻应大大高于布线电阻。高速电路,电荷的分配效应会引起很多问题。 2 随器件尺寸的减小,线宽和线间距也在减小,多层布线层之间的介质层也在变薄,这将大大增加布线电阻和分布电阻。 3 电源线和地线应尽可能的避免用扩散区和多晶硅布线,特别是通过

较大电流的那部分电源线和地线。因此集成电路的版图设计电源线和地线多采用梳状布线,避免交叉,或者用多层金属工艺,提高设计布线的灵活性。 4 禁止在一条铝布线的长信号霞平行走过另一条用多晶硅或者扩散区布线的长信号线。因为长距离平行布线的两条信号线之间存在着较大的分布电容,一条信号线会在另一条信号线上产生较大的噪声,使电路不能正常工作。、 5 压点离开芯片内部图形的距离不应少于20um,以避免芯片键和时,因应力而造成电路损坏。

专用集成电路设计

专用集成电路课程设计 简易电子琴 通信工程学院 011051班 侯珂

01105023 目录 1 引言 (1) 1.1设计的目的 (1) 1.2设计的基本内容 (2) 2 EDA、VHDL简介 (2) 2.1EDA技术 (2) 2.2硬件描述语言——VHDL (3) 2.2.1 VHDL的简介 (3) 2.2.2 VHDL语言的特点 (3) 2.2.3 VHDL的设计流程 (4) 3 简易电子琴设计过程 (5) 3.1简易电子琴的工作原理 (5) 3.2简易电子琴的工作流程图 (5) 3.3简易电子琴中各模块的设计 (6) 3.3.1 乐曲自动演奏模块 (7) 3.3.2 音调发生模块 (8) 3.3.3 数控分频模块 (9)

3.3.4 顶层设计 (10) 4 系统仿真 (12) 5 结束语 (14) 收获和体会.................................................................................................. 错误!未定义书签。参考文献 .. (15) 附录 (16)

1 引言 我们生活在一个信息时代,各种电子产品层出不穷,作为一个计算机专业的学生,了解这些电子产品的基本组成和设计原理是十分必要的,我们学习的是计算机组成的理论知识,而课程设计正是对我们学习的理论的实践与巩固。本设计主要介绍的是一个用超高速硬件描述语言VHDL设计的一个具有若干功能的简易电子琴,其理论基础来源于计算机组成原理的时钟分频器。 摘要本系统是采用EDA技术设计的一个简易的八音符电子琴,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。系统由乐曲自动演奏模块、音调发生模块和数控分频模块三个部分组成。系统实现是用硬件描述语言VHDL按模块化方式进行设计,然后进行编程、时序仿真、整合。本系统功能比较齐全,有一定的使用价值。 关键字电子琴、EDA、VHDL、音调发生 1.1 设计的目的 本次设计的目的就是在掌握计算机组成原理理论的基础上,了解EDA技术,掌握VHDL硬件描述语言的设计方法和思想,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识,例如本课程设计就是基于所学的计算机原理中的时钟分频器和定时器的基础之上的,通过本课程设计,达到巩固和综合运用计算机原理中的知识,理论联系实际,巩固所学理论知识,并且提高自己通过所学理论分析、解决计算机实际问题的能力。

集成电路(IC)设计完整流程详解及各个阶段工具简介

IC设计完整流程及工具 IC的设计过程可分为两个部分,分别为:前端设计(也称逻辑设计)和后端设计(也称物理设计),这两个部分并没有统一严格的界限,凡涉及到与工艺有关的设计可称为后端设计。 前端设计的主要流程: 1、规格制定 芯片规格,也就像功能列表一样,是客户向芯片设计公司(称为Fabless,无晶圆设计公司)提出的设计要求,包括芯片需要达到的具体功能和性能方面的要求。 2、详细设计 Fabless根据客户提出的规格要求,拿出设计解决方案和具体实现架构,划分模块功能。 3、HDL编码 使用硬件描述语言(VHDL,Verilog HDL,业界公司一般都是使用后者)将模块功能以代码来描述实现,也就是将实际的硬件电路功能通过HDL语言描述出来,形成RTL(寄存器传输级)代码。 4、仿真验证 仿真验证就是检验编码设计的正确性,检验的标准就是第一步制定的规格。看设计是否精确地满足了规格中的所有要求。规格是设计正确与否的黄金标准,一切违反,不符合规格要求的,就需要重新修改设计和编码。设计和仿真验证是反复迭代的过程,直到验证结果显示完全符合规格标准。仿真验证工具Mentor 公司的Modelsim,Synopsys的VCS,还有Cadence的NC-Verilog均可以对RTL 级的代码进行设计验证,该部分个人一般使用第一个-Modelsim。该部分称为前仿真,接下来逻辑部分综合之后再一次进行的仿真可称为后仿真。 5、逻辑综合――Design Compiler 仿真验证通过,进行逻辑综合。逻辑综合的结果就是把设计实现的HDL代码翻译成门级网表netlist。综合需要设定约束条件,就是你希望综合出来的电路在面积,时序等目标参数上达到的标准。逻辑综合需要基于特定的综合库,不同的库中,门电路基本标准单元(standardcell)的面积,时序参数是不一样的。所

数字集成电路设计与分析

问答: Point out design objects in the figure such as :design, cell, reference, port, pin, net, then write a command to set 5 to net A Design: top Reference: ADD DFF Cell: U1 U2 Port: A B clk sum Pin: A B D Q Net: A B SIN Set_load 5 [get_nets A] why do we not choose to operate all our digital circuits at these low supply voltages? 答:1)不加区分地降低电源电压虽然对减少能耗能正面影响,但它绝对会使门的延时加大 2)一旦电源电压和本征电压(阈值电压)变得可比拟,DC特性对器件参数(如晶体管 阈值)的变化就变得越来越敏感 3)降低电源电压意味着减少信号摆幅。虽然这通常可以帮助减少系统的内部噪声(如串扰引起的噪声),但它也使设计对并不减少的外部噪声源更加敏感) 问道题: 1.CMOS静态电路中,上拉网络为什么用PMOS,下拉网络为什么用NMOS管 2.什么是亚阈值电流,当减少VT时,V GS =0时的亚阈值电流是增加还是减少? 3.什么是速度饱和效应 4.CMOS电压越低,功耗就越少?是不是数字电路电源电压越低越好,为什么? 5.如何减少门的传输延迟? P203 6.CMOS电路中有哪些类型的功耗? 7.什么是衬垫偏置效应。 8.gate-to-channel capacitance C GC,包括哪些部分 VirSim有哪几类窗口 3-6. Given the data in Table 0.1 for a short channel NMOS transistor with V DSAT = 0.6 V and k′=100 μA/V2, calculate V T0, γ, λ, 2|φf|, and W / L:

IC设计基础笔试集锦

IC设计基础(流程、工艺、版图、器件)笔试集锦 1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路 相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA 等的概念)。(仕兰微面试题目) 什么是MCU? MCU(Micro Controller Unit),又称单片微型计算机(Single Chip Microcomputer),简称单片机,是指随着大规模集成电路的出现及其发展,将计算机的CPU、RAM、ROM、定时数器和多种I/O接口集成在一片芯片上,形成芯片级的计算机。 MCU的分类 MCU按其存储器类型可分为MASK(掩模)ROM、OTP(一次性可编程)ROM、FLASH ROM等类型。MASK ROM的MCU价格便宜,但程序在出厂时已经固化,适合程序固定不变的应用场合;FALSH ROM的MCU程序可以反复擦写,灵活性很强,但价格较高,适合对价格不敏感的应用场合或做开发用途;OTP ROM的MCU价格介于前两者之间,同时又拥有一次性可编程能力,适合既要求一定灵活性,又要求低成本的应用场合,尤其是功能不断翻新、需要迅速量产的电子产品。 RISC为Reduced Instruction Set Computing的缩写,中文翻译为精简执令运算集,好处是CPU核心 很容易就能提升效能且消耗功率低,但程式撰写较为复杂;常见的RISC处理器如Mac的Power PC 系列。 CISC就是Complex Instruction Set Computing的缩写,中文翻译为复杂指令运算集,它只是CPU分类的一种,好处是CPU所提供能用的指令较多、程式撰写容易,常见80X86相容的CPU即是此类。 DSP有两个意思,既可以指数字信号处理这门理论,此时它是Digital Signal Processing的缩写;也可以是Digital Signal Processor的缩写,表示数字信号处理器,有时也缩写为DSPs,以示与理论的区别。 2、FPGA和ASIC的概念,他们的区别。(未知) 答案:FPGA是可编程ASIC。 ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一 个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与 门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计 制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点 3、什么叫做OTP片、掩膜片,两者的区别何在?(仕兰微面试题目)otp是一次可编程(one time programme),掩膜就是mcu出厂的时候程序已经固化到里面去了,不能在写程序进去!( 4、你知道的集成电路设计的表达方式有哪几种?(仕兰微面试题目) 5、描述你对集成电路设计流程的认识。(仕兰微面试题目) 6、简述FPGA等可编程逻辑器件设计流程。(仕兰微面试题目) 7、IC设计前端到后端的流程和eda工具。(未知) 8、从RTL synthesis到tape out之间的设计flow,并列出其中各步使用的tool.(未知) 9、Asic的design flow。(威盛VIA 2003.11.06 上海笔试试题) 10、写出asic前期设计的流程和相应的工具。(威盛) 11、集成电路前段设计流程,写出相关的工具。(扬智电子笔试) 先介绍下IC开发流程: 1.)代码输入(design input) 用vhdl或者是verilog语言来完成器件的功能描述,生成hdl代码 语言输入工具:SUMMIT VISUALHDL MENTOR RENIOR 图形输入: composer(cadence); viewlogic (viewdraw) 2.)电路仿真(circuit simulation) 将vhd代码进行先前逻辑仿真,验证功能描述是否正确 数字电路仿真工具: Verolog:CADENCE Verolig-XL SYNOPSYS VCS MENTOR Modle-sim VHDL : CADENCE NC-vhdl SYNOPSYS VSS MENTOR Modle-sim 模拟电路仿真工具: AVANTI HSpice pspice,spectre micro microwave: eesoft : hp 3.)逻辑综合(synthesis tools) 逻辑综合工具可以将设计思想vhd代码转化成对应一定工艺手段的门级电路;将初级仿真 中所没有考虑的门沿(gates delay)反标到生成的门级网表中,返回电路仿真阶段进行再 仿真。最终仿真结果生成的网表称为物理网表。 12、请简述一下设计后端的整个流程?(仕兰微面试题目) 13、是否接触过自动布局布线?请说出一两种工具软件。自动布局布线需要哪些基本元 素?(仕兰微面试题目) 14、描述你对集成电路工艺的认识。(仕兰微面试题目)

数字集成电路设计流程

《ic设计流程与使用工具介绍》 我认为IC设计流程按照功能和应用场合不同大致可以划分为三个部分进行介绍,分别是数字IC、模拟IC和FPGA。这三者之间既有相同点又有相异点。在进行设计时,所使用的软件工具也有相同和不同的。 1、数字Asic设计流程前端到后端使用工具 通用型数字Asic(从上到下) 在验证算法时一般使用C语言或者verilog来对系统算法进行建模,使用行为级描述来对算法功能的正确与否进行仿真。一般比较常用的方法是使用C语言在Matlab软件环境下进行算法验证。 算法验证完成之后,需要进行的工作就是将算法转化为对应的行为级或者寄存器传输级描述,并且对其进行功能仿真验证。在该阶段可以使用的工具有很多,常用的有Active—HDL、Mentor的Modelsim 系列软件和QuestaSim系列(前者使用三个核进行仿真,后者使用一个核,因此后者可以对不同语言环境下的描述进行混合仿真)。 完成功能仿真之后需要进行的工作就是根据foundry提供的标准数字单元工艺库,对前面得到的表述一定功能的代码进行综合,得到代码对应的由标准单元库中的门电路组成的实际电路映射。在综合的过程中,要根据设计规范来制定各种约束以使综合得到的电路映射能够满足设计的要求,同时也要注意综合报告中所给出的违反约束的各个信息,并且利用这些信息来修改代码或者算法。在综合的过程中使用的工具最主要是Synopsys的DC和PC。 做完综合之后,利用综合得到的实际电路映射、时序约束与foundry提供的与版图有关的工艺库就可以进行自动布局布线的操做了。此时常用的软件有Synopsys的ASTRO和Cadence的Se工具。 自动布局布线完成后就可以根据产生的版图文件信息提取寄生参数来进行包含寄生参数与互联延迟的后仿真了。一般常用的寄生参数提取工具有A V ANTI的STAR-RC和Cadence的DRECULA或Diva,两者都需要将自动布局布线得到的版图和工艺库文件导入软件中进行寄生参数提取。Cadence的软件还可以通过导入版图,来对自动布局布线得到的版图中不满意的地方进行修补。 寄生参数提取结束后将得到的寄生参数信息与自动布局布线得到的网表导入PT进行包含寄生参数的时序参数提取,然后利用所提取的时序参数在底层网表中反标进行后仿真,观察后仿真的时序是否满足设计规范的要求。如果满足则设计基本完成,不满足还需要进行迭代修改。产生反标需要的时序文件的软件是PT,而将时序反标文件反标回综合后的网表并且进行后仿真的软件比较多,比如Modelsim 和Nclaunch(NC主要针对大型系统,而Modelsim则主要是针对小的设计,因为前者的工作平台是工作站后者是PC)。 全定制数字Asic或者混合信号ASIC(从下到上与从上到下结合) 当需要制作全定制的数字芯片时,传统的从上到下的设计流程就不完全奏效了。其中最大的不同就是全定制芯片为了实现更小的体积与功耗,更高的集成度将可能不采用厂家提供的标准数字单元库而是通过与foundry沟通自己设计满足自己需要的工艺库。比如Xilinx的FPGA芯片的设计采用的就是全定制的设计方法。 对于全定制设计而言,也需要采用算法验证、功能描述与仿真验证、综合、寄生参数提取与后仿真的过程,但是相对通用型Asic的设计而言,在做后仿真时全定制可以使用模拟仿真的方法进行后仿真而不需要进行时序反标的过程,因为在设计全定制Asic时使用的不是foundry提供的标准数字工艺库而是根据设计需要自己设计的数字工艺库。因此对于全定制的Asic设计而言,它的后仿真需要采用foundry提供的标准模拟库,由于不使用时序的反标而采用模拟仿真的方法后仿真得到最终版图对应信息,因此耗时可能比设计通用型的Asic更长。 全定制设计流程的使用软件有,算法验证与功能仿真的软件同通用型使用的基本相同。但是在综合这

相关文档
相关文档 最新文档