文档库 最新最全的文档下载
当前位置:文档库 › 数字频率计设计

数字频率计设计

数字频率计设计
数字频率计设计

毕业设计论文数字频率计设计【摘要】:

在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。电子计数器测频有两种方式:一是直接测频法,即在一定闸门时间内测量被测信号的脉冲个数;二是间接测频法。如周期测频法。直接测频法适用于高频信号的频率测量,间接测频法适用于低频信号的频率测量。本文阐述了基于VHDL语言设计了一个简单的数字频率计的过程。

【关键字】: 数字频率计、信号、周期

Abstract 毕业设计论文

【Abstract】:

Be one of the most fundamental parameter in electron technology medium frequency, parameter measurement scheme, measurement result all have very close something to do with a lot of electricity and, the frequency measurement looks like being more important therefore right away. The method measuring frequency has various, among them the electronic counter measures frequency having accuracy height, usage is convenient, measurement is prompt, easy to realize measurement process automation waits for merit and, counter measures frequency having two kinds way: sure frequency law first directly ,be to measure the pulse number the signal is measured within certain sluice gate time; Two is indirect measure frequency law, if the period measures frequency law, Measure frequency law directly applying to the high frequency signal's. 【Keyword】: Figure frequency meter、Signal、period

毕业设计论文数字频率计设计

目录

绪论: (1)

第一章概述 (2)

1.1设计概述 (2)

1.2设计目的 (2)

1.3设计内容 (2)

1.4设计原理 (3)

1.5设计功能 (3)

第二章数字频率计的设计思路 (4)

2.1时基的设计 (4)

2.2计数器的设计 (5)

2.3模块的划分 (5)

第三章数字频率计各模块的设计和实现 (6)

3.1计数器的设计和实现 (6)

3.27段译码器的设计 (8)

3.3数字频率计综合设计 (9)

第四章数字频率计波形仿真 (15)

4.1省略分频进程 (15)

4.210K H Z、100K H Z和1MH Z三挡仿真 (15)

4.3测周期挡仿真 (17)

第五章调试常见错误及解决办法 (19)

5.1常见错误与解决办法 (19)

总结 (20)

致谢 (21)

附录 (22)

附录A MAX+PLUSⅡ简介 (22)

附录B软件的安装 (23)

附录C软件组成 (23)

Abstract 毕业设计论文附录D设计流程 (24)

参考文献 (25)

毕业设计论文数字频率计设计绪论:

随着电子技术的发展,当前数字系统的设计正朝着速度快、容量大、体积小、重量轻的方向发展。推动该潮流迅猛发展的引擎就是日趋进步和完善的设计技术。目前数字频率计的设计可以直接面向用户需求,根据系统的行为和功能要求,自上至下的逐层完成相应的描述、综合、优化、仿真与验证,直到生成器件。上述设计过程除了系统行为和功能描述以外,其余所有的设计过程几乎都可以用计算机来自动地完成,也就是说做到了电子设计自动化(EDA)。这样做可以大大地缩短系统的设计周期,以适应当今品种多、批量小的电子市场的需求,提高产品的竞争能力。

电子设计自动化(EDA)的关键技术之一是要求用形式化方法来描述数字系统的硬件电路,即要用所谓硬件描述语言来描述硬件电路。所以硬件描述语言及相关的仿真、综合等技术的研究是当今电子设计自动化领域的一个重要课题。

硬件描述语言的发展至今已有几十年的历史,并已成功地应用到系统的仿真、验证和设计综合等方面。到本世纪80年代后期,已出现了上百种的硬件描述语言,它们对设计自动化起到了促进和推动作用。但是,它们大多各自针对特定设计领域,没有统一的标准,从而使一般用户难以使用。广大用户所期盼的是一种面向设计的多层次、多领域且得到一致认同的标准的硬件描述语言。80年代后期由美国国防部开发的VHDL语言(VHSIC Hardware Description Language)恰好满足了上述这样的要求,并在1987年12月由IEEE标准化(定为IEEE std 1076--1987标准,1993年进一步修订,被定为ANSI/IEEE std 1076--1993标准)。它的出现为电子设计自动化(EDA)的普及和推广奠定了坚实的基础。据1991年有关统计表明,VHDL语言业已被广大设计者所接受。另外,众多的CAD厂商也纷纷使自己新开发的电子设计软件与VHDL语言兼容。由此可见,使用VHDL语言来设计数字系统是电子设计技术的大势所趋。

第一章概述毕业设计论文第一章概述

1.1 设计概述

所谓频率,就是周期性信号在单位时间(1s)里变化的次数。

本数字频率计的设计思路是:

1.根据频率计的测频原理,可以选择合适的时基信号即闸门时间,对输入被测信号脉冲进行计数,实现测频的目的。

2.根据数字频率计的基本原理,本文设计方案的基本思想是分为五个模块来实现其功能,即整个数字频率计系统分为分频模块、控制模块、计数模块、译码模块和量程自动切换模块等几个单元,并且分别用VHDL对其进行编程,实现了闸门控制信号、计数电路、锁存电路、显示电路等。

3.在进行设计之前,首先搞清楚在什么情况下是测频率,在什么情况下是测周期,其实就是一个选择合适的时基信号的问题。在这个设计中,要在频率计提供的时基信号和输入信号之间做出选择,充当时基信号即闸门时间。当测频率的时候,要以输入信号作为时钟信号,因为输入信号的频率大于频率计提供的基准频率,在频率计提供的基准信号周期内,计算输入信号的周期数目,再乘以频率计基准频率,就是输入信号的频率值了。此时的时基信号为频率计的基准信号。当测周期的时候,要以频率计提供的基准信号作为时钟信号,因为频率计提供的时基频率大于输入信号的频率,在输入信号周期内,计算频率计提供的基准信号的周期数目,再乘以基准信号频率,就是输入信号的周期值了。此时的时基信号为输入信号。

1.2 设计目的

1.学会利用MAX+PLUSⅡ进行层次化设计;

2.练习混合设计设计输入的方法;

3.巩固用试验箱验证设计的方法。

1.3 设计内容

分析数字频率计的功能,完成功能模块的划分,分别用VHDL语言完成底层模块的设计和以原理图的方法完成顶层模块的设计,分别对各个模块以及顶层模块进行仿真分析,最后在硬件开发平台上进行测试。

毕业设计论文数字频率计设计

1.4 设计原理

众所周知,频率信号易于传输,抗干扰性强,可以获得较好的测量精度。因此,频率检测是电子测量领域最基本的测量之一。频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率。通常情况下计算每秒内待测信号的脉冲个数,即闸门时间为1 s。闸门时间可以根据需要取值,大于或小于1 s都可以。闸门时间越长,得到的频率值就越准确,但闸门时间越长,则每测一次频率的间隔就越长。闸门时间越短,测得的频率值刷新就越快,但测得的频率精度就受影响。一般取1 s作为闸门时间。

数字频率计的关键组成部分包括测频控制信号发生器、计数器、锁存器、译码驱动电路和显示电路,其原理框图如图1所示。

1.5 设计功能

3位数字频率计是用3个十进制数字显示的数字式频率计,其频率测量范围为1MHz。为了提高测量精度,量程分别为10kHz、100kHz和1MHz三挡,即最大读数分别为9.99kHz,99.9kHz和999kHz。要求量程自动换挡。具体功能如下:

1.当读数大于999时,频率计处于超量程状态,下一次测量时,量程自动增大一挡。

2.当读数小雨099时,频率计处于欠量程状态,下一次测量时,量程自动减少一挡。

3.当超出频率测量范围时,显示器显示溢出。

4.采用记忆显示方法,即测量过程中不显示数据,待测量过程结束以后,显示测频结果,并将此结果保持到下次测量结束。显示时间不少于1秒。

5.小数点位置随量程变化自动移位。

6.增加测周期功能,就是当时钟频率低于0.99kHz的时候,显示的数值变成周期,以毫秒为单位。

第二章数字频率计的设计思路毕业设计论文第二章数字频率计的设计思路

2.1 时基的设计

输入信号是随意的,没法预知其频率是多少,如何选取频率计提供的基准信号是关键。设计要求量程分别为10kHz,100kHz和1MHz三挡。测频率时,在某个挡进行测量的时候,就需要提供该挡的时基。在10kHz挡,该挡最大读数为9.99kHz,同时也说明最小的读数是0.01kHz,所以提供的时基应该是频率为0.01kHz的脉冲。同样的道理100kHz挡提供的时基应该是0.1kHz的脉冲,1MHz挡提供的时基应该是频率为1kHz的脉冲。要产生这3种脉冲,就得从输入的时钟中提取(这里假设输入的是20MHz的脉冲),分别采用分频的方法来产生这3种时基信号显然不可取,太浪费资源,因为分别产生得用到3个分频器,一个为20kHz分频器,用于产生频率为1kHz的脉冲;一个200kHz分频器,用于产生频率为0.1kHz的脉冲;一个2M 分频器,用于产生频率为0.01kHz的脉冲。可以考虑先用一个20kHz分频器,产生频率为1kHz的脉冲,再利用一个10倍分频器对1kHz脉冲进行分频,产生0.1kHz 的脉冲,一个100倍分频器对1kHz脉冲进行分频,产生0.01kHz的脉冲。同样用到了3个分频器,但是节约了资源。

再考虑具体的实现,在测频率的时候,由于采用输入信号作为时基,以输入信号为时钟,用一个计数器测量在一个时基周期里,输入信号的周期数目,如此就可以得到输入信号的频率。但是一个时基信号,例如频率为0.01kHz(周期为100ms)的脉冲信号,在整个100ms的周期里,根据占空比,有高电平也有低电平,这就给计数器计数的判断带来了麻烦。最好是能够产生一个高电平为100ms的脉冲信号作为时基,那么就能够在程序中以“如果时基信号为1”作为判断条件,如果满足条件则计数器计数,方便了程序的书写。同理,在这个设计中还要产生高电平为10ms 和1ms的脉冲信号作为时基。

可以考虑使用状态机来实现这3种时基,因为采用状态机来控制时序很清楚,不容易出错。状态机用1kHz(周期为1ms)的脉冲信号触发,因为所要生产的时基中,频率最大(周期最小)的就是1kHz的脉冲,要产生高电平为10ms和1ms的脉冲信号,可以采用100个状态的状态机,从状态1,状态2......到状态100.要产生高电平为1ms的脉冲信号,只要在状态99的时候产生高电平,状态100的时候回到低电平即可;要产生高电平为10ms的脉冲信号,则要在状态90的时候产生高电平,在状态100的时候回到低电平。需要产生哪个时基得根据此时频率计所在的

毕业设计论文数字频率计设计

挡作为判断条件进行控制。在100个状态中,有很多状态的功能相同的,可以将它们合并。

2.2 计数器的设计

各个挡之间的转换应遵循设计要求,要根据在时基有效时间内的计数值进行判断。计数器可以直接定义成一个整型信号,这样计数器计数(即加1)就十分方便,只要使用语句“计数器<=计数器+1;”就可以。但是这个计数值要作为显示输出,就要将这个计数器用个位、十位、百位分开表示,而且要遵循加法“逢十进一”的规则。这样可以直接通过7段译码器进行显示。因为在不同的挡位,小数点的位置是不同的,所以小数点的显示以所在挡为判断条件。

2.3 模块的划分

计数器在各个挡被反复应用的,如果在各个挡分别设计计数器,就造成资源的浪费,而且在测周期和测频率的时候,计数器的时候信号和输入信号要进行调换,但是计数功能是一样的,所以将计数器设计成单独的模块。7段译码器在个位、十位、百位中也都被利用到,因此也将其设计成单独的模块,重复引用就不需要在3个位显示的时候重复书写译码电路了。

另外,计数器的输入信号和时钟信号要通过一个进程来提供。在测频率时,进程向计数器提供的时钟信号是输入频率计的测量信号,计数器的输入信号是频率计提供的时基;在测周期时,进程向计数器提供的时钟信号是频率计提供的时基,计数器的输入信号是输入频率计的测量信号。

数字频率计各模块的设计和实现毕业设计论文第三章数字频率计各模块的设计和实现

3.1 计数器的设计和实现

--计数器模块

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity frequency is

port(treset:in std_logic;--异步复位端口

tclk:in std_logic;--时钟输入

tsig:in std_logic;--信号输入

tkeep1:out std_logic_vector(3 downto 0);--计数值个位

tkeep2:out std_logic_vector(3 downto 0);--计数值十位

tkeep3:out std_logic_vector(3 downto 0));--计数值百位

end entity frequency;

architecture one of frequency is

signal tcou1:std_logic_vector(3 downto 0);--内部计数值个位

signal tcou2:std_logic_vector(3 downto 0);--内部计数值十位

signal tcou3:std_logic_vector(3 downto 0);--内部计数值百位

begin

ctrcou:process(treset,tclk)--控制计数功能的进程

begin

if treset='1' then

tcou1<="0000";tcou2<="0000";tcou3<="0000";

else

if tclk'event and tclk='1' then

if tsig='1' then--时基信号高电平为判断条件有效的时候遇到时 --钟上升沿触发

if tcou3="1010" then

tcou3<="1010";--如果百位为10,百位数值不变

毕业设计论文数字频率计设计

else

if tcou1="1001" and tcou2="1001" and tcou3="1001" then --如果计数值为999

tcou1<="0000";tcou2<="0000";tcou3<="1010";

-- 则计数值百位变成10,十位、个位变成0

elsif tcou1="1001" and tcou2="1001" then

--如果百位小于9,十位为9且个位为9的时候

tcou1<="0000";tcou2<="0000";tcou3<=tcou3+1;

--百位数值加1,十位、个位清零

elsif tcou1="1001" then

--如果百位和十位都小于9且个位为9的时候

tcou1<="0000";tcou2<=tcou2+1;

--个位清零,十位数值加1

else tcou1<=tcou1+1; --其他情况就是个位数值加1

end if;

end if;

else –如果时基信号为0,那么判断条件无效

tcou1<="0000";tcou2<="0000";tcou3<="0000";

end if;

end if;

end if;

end process ctrcou;

oputctr:process(treset,tsig)--控制数值输出的进程

begin

if treset='1' then

tkeep1<="0000";tkeep2<="0000";tkeep3<="0000";

else

if tsig'event and tsig='0' then

--时钟下降沿触发输出各位数值

tkeep1<=tcou1;

tkeep2<=tcou2;

tkeep3<=tcou3;

end if;

end if;

数字频率计各模块的设计和实现毕业设计论文

end process oputctr;

end one;

3.2 7段译码器的设计

7段译码器将输入的4位BCD码以7段译码的方式输入。可以使用一个7位向量来分别表示7段译码器中的7段。

--译码器模块

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity display is

port(data_in:in std_logic_vector(3 downto 0);

--输入为4位二进制,范围从0到9

data_out:out std_logic_vector(0 to 3));--7段译码输出

end entity display;

architecture one of display is

signal indata:std_logic_vector(3 downto 0);--内部数值信号

begin

process(data_in)--输入信号作为进程的敏感量触发进程

begin

indata<=data_in;

case indata is

when"0000"=>data_out<="0000";

when"0001"=>data_out<="0001";

when"0010"=>data_out<="0010";

when"0011"=>data_out<="0011";

when"0100"=>data_out<="0100";

when"0101"=>data_out<="0101";

when"0110"=>data_out<="0110";

when"0111"=>data_out<="0111";

when"1000"=>data_out<="1000";

when"1001"=>data_out<="1001";

毕业设计论文数字频率计设计

when others=>data_out<="1111";

end case;

end process;

end one;

3.3 数字频率计综合设计

要设计的数字频率计需要3个输入端口,一个脉冲输入端口 clk (频率为4.19MHz);一个异步复位端口rest,用于使系统回到初始状态;还有一个就是测试信号的输入端口testsignal,用于输入待测试的信号。

该频率计需要7个输出端口,要有一个表示是显示频率还是周期的输出端口unit;还有3个显示频率值的7段译码输出端口display1,display2和display3,以及3个小数点输出端口dot向量。

--频率计源文件

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity dfre is

port(reset:in std_logic;

clk:in std_logic;--时钟信号

testsignal:in std_logic;--测试信号输入端

display1:out std_logic_vector(0 to 3);

display2:out std_logic_vector(0 to 3);

display3:out std_logic_vector(0 to 3); --3个7段译码器输出

unit:out std_logic;--表示是周期还是频率的信号灯

dot:out std_logic_vector(2 downto 0)); --小数点

end entity dfre;

architecture one of dfre is

type state is

(start,judge,count1,count2to89,count90,count91to98,count99,count100); signal myfre:state;

signal frecou:integer range 0 to 99;--用于状态机中的计数器,计数值从0~99 signal clk1k:std_logic;--产生频率为1kHz的脉冲信号

数字频率计各模块的设计和实现毕业设计论文

signal cou1k:integer range 0 to 2094;--用于分频的计数器

signal enfre:std_logic;--代表时基的脉冲信号

signal flag:std_logic_vector(2 downto 0);

--标志信号,1表示10kHz测频挡,2表示100kHz测频挡,3表示1MHz测频挡,0表示测周期挡,4表示溢出

signal keepcou1:std_logic_vector(3 downto 0);

signal keepcou2:std_logic_vector(3 downto 0);

signal keepcou3:std_logic_vector(3 downto 0);

signal ttclk:std_logic;--输入计数器的时钟信号即时基

signal ttsig:std_logic;--输入计数器的测试信号

component display is --引用7段译码器

port(data_in:in std_logic_vector(3 downto 0);

data_out:out std_logic_vector(0 to 6)

);

end component;

component frequency is –引用计数器

port(treset:in std_logic;

tclk,tsig:in std_logic;

tkeep1:out std_logic_vector(3 downto 0);

tkeep2:out std_logic_vector(3 downto 0);

tkeep3:out std_logic_vector(3 downto 0));

end component;

begin

constrclk1k:process(reset,clk)--用4.19MHz的脉冲产生频率为1kHz脉冲进程

begin

if reset='1' then

cou1k<=0;clk1k<='0';

else

if clk'event and clk='1' then

if cou1k=9999 then

cou1k<=0;

clk1k<=not clk1k;

else

毕业设计论文数字频率计设计

cou1k<=cou1k+1;

end if;

end if;

end if;

end process constrclk1k;

ctrdot:process(flag) --控制小数点显示的进程,标志flag为敏感量begin

case flag is

when"000"=>dot<="000";

when"001"=>dot<="100";

when"010"=>dot<="010";

when"011"=>dot<="001";

when others=>dot<="111";

end case;

end process ctrdot;

ctrfre:process(reset,clk1k)--用于产生时基的状态机

begin

if reset='1' then

frecou<=0;enfre<='0';flag<="001";myfre<=start;

else

if clk1k'event and clk1k='1' then

case myfre is

when start=>frecou<=0;enfre<='0';flag<="011";myfre<=judge;

when judge=>

if flag="000" then--如果标志为0,即频率计处于测周期挡

if keepcou3="0000" and keepcou2="0000" and keepcou1="0000" then flag<="001";enfre<='1';

else flag<="000";

end if;

elsif flag="100" then--如果标志为4,即处于溢出挡

if keepcou3="1010" then

flag<="100";

else flag<="011";

end if;

数字频率计各模块的设计和实现毕业设计论文

elsif flag="010" then--如果标志为2,即处于100kHz挡

if keepcou3<"0001" then

flag<=flag-1;

enfre<='1';

elsif keepcou3="1010" then

flag<=flag+1;

else flag<=flag;

end if;

elsif flag="001" then--如果标志为1,即处于10kHz挡

if keepcou3<"0001" then

flag<=flag-1;

elsif keepcou3="1010" then

flag<=flag+1;

else flag<=flag;

enfre<='1';

end if;

else --如果标志为3,即处于1MHz挡

if keepcou3<"0001" then

flag<=flag-1;

elsif keepcou3="1010" then

flag<=flag+1;

else flag<=flag;

end if;

end if;

myfre<=count1; --处于计数状态1的时候

when count1=>

if flag="001" or flag="000" then

enfre<='1';

else enfre<=enfre;

end if;

frecou<=1;

myfre<=count2to89;

when count2to89=> --处于计数状态2~89的时候

if frecou=88 then

毕业设计论文数字频率计设计

frecou<=89;

myfre<=count90;

else frecou<=frecou+1;

myfre<=count2to89;

end if;

when count90=> --处于计数状态90的时候

if flag="010" then

enfre<='1';

else enfre<=enfre;

end if;

frecou<=90;

myfre<=count91to98;

when count91to98=> --处于计数状态91~98的时候

if frecou=97 then

frecou<=98;

myfre<=count99;

else frecou<=frecou+1;

myfre<=count91to98;

end if;

when count99=> --处于计数状态99的时候

if flag="011" or flag="100" then

enfre<='1';

else enfre<=enfre;

end if;

frecou<=99;

myfre<=count100;

when count100=> --处于计数状态100的时候

frecou<=100;

enfre<='0';

myfre<=judge;--状态转移到判断状态

when others=>null;

end case;

end if;

end if;

数字频率计各模块的设计和实现毕业设计论文

end process ctrfre;

ctrtt:process(reset,flag)--用于控制计数器输入的进程

begin

if reset='1' then

ttclk<='0';ttsig<='0';unit<='0'; --异步置位使得输入信号都为0 else

if flag=0 then ttclk<=clk1k;ttsig<=testsignal;unit<='1';

--如果标志为0即频率计处于测周期挡else ttclk<=testsignal;ttsig<=enfre;unit<='0';

--如果标志不为0即频率计处于测频率挡end if;

end if;

end process ctrtt;

c1: frequency port map(reset,ttclk,ttsig,keepcou1,keepcou2,keepcou3);

--引用计数器

dis1: display port map(keepcou1,display1);

dis2: display port map(keepcou2,display2);

dis3: display port map(keepcou3,display3);

end one;

上图中,state是用于产生时基的状态机类型,共有开始状态(start)、判断状态(judge)、计数状态1(count 1)、计数状态2~89(count 2 to 89)、计数状态90(count 90)、计数状态91~98(count 91 to 98)、计数状态99(count 99)、计数状态100(count 100)。这里,将计数状态2、计数状态3一直到计数状态89进行了合并,将计数状态91到计数状态98进行了合并,因为这些状态功能一致,所以合并。将计数状态1、计数状态90、计数状态99和计数状态100单独提取出来的原因是时基信号都在状态100清零,产生高电平为100ms的时基,需要在计数状态1的时候将时基信号置1,由于从1~99只有99ms,因此在计数状态1之前的judge状态中,如果处于10kHz测频挡,就要将时基信号置1;产生高电平为10ms 的时基,需要在计数状态90的时候将时基信号置1;产生高电平为1ms的时基,则需要在计数状态99将时基信号置1,所以计数状态1、计数状态90和计数状态99要单独提取。

毕业设计论文数字频率计设计第四章数字频率计波形仿真

4.1 省略分频进程

由于设计输入的脉冲信号为4.19MHz,所以如果直接采用上面的设计进行仿真,那么将很浪费时间。在能够保证分频不错误的情况下,可以在结构体中省略分频的进程,并且将实体设计作出如下改动:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity dfre is

port(reset:in std_logic;

clk1k:in std_logic; --1k时钟信号

testsignal:in std_logic;--测试信号输入端

display1:out std_logic_vector(0 to 3);

display2:out std_logic_vector(0 to 3);

display3:out std_logic_vector(0 to 3); --3个7段译码器输出

unit:out std_logic;--表示是周期还是频率的信号灯

dot:out std_logic_vector(2 downto 0)); --小数点

end entity dfre;

再次编译以后,在仿真中就可以直接采用clk1k的脉冲作为触发,即采用频率为1kHz的脉冲作为时钟信号。这是一种明智的仿真方法,很多时候都可以借鉴。

4.2 10kHz、100kHz和1MHz三挡仿真

第一次仿真采用测试信号的周期为200us,即频率为5kHz,按照频率计的设计,应该是自动换到10kHz测频挡,显示为5.00,单位为kHz。仿真波形如图:

数字频率计波形仿真毕业设计论文

测试信号是频率为5kHz脉冲时的仿真波形图

在波形图中可以看到,开始的时候flag为2,表示频率计处于100kHz测频挡,但是这个挡提供的时基不能满足要求,时基太小,计数器在时基为高电平的时候计数次数太少,不能达到100次,所以要自动换挡。100kHz测频挡提供一个时基以后,马上换到10kHz测频挡。可以看到flag变成1了,如下图所示。此时的时基符合要求,因此最后就稳定地显示频率数值。

第一次仿真中的换挡情况

第二次仿真待用测试信号的周期为40us,即频率为25kHz,按照频率计的设计,应该自动换挡到100kHz测频挡,显示为25.0,单位为kHz。仿真波形如图所示:

测试信号是频率为25kHz脉冲时的仿真波形图

第三次仿真采用测试信号的周期为4us,即频率为250kHz,按照频率计的设计,应该自动换挡到1MHz测频挡,显示为250,单位为kHz。仿真波形如图所示:

数字频率计的设计

长安大学 电子技术课程设计 数字频率计的设计 专业: 班级: 姓名 指导教师: 日期:

目录 引言 第一章系统概述 一、设计方案的选择 1、计数法 2、计时法 二、整体框图及原理 第二章单元电路设计 一、放大电路设计 二、闸门电路设计 三、时基电路设计 四、控制电路设计 五、报警电路设计 六、整体电路图 七、整机元件清单 第三章设计小结 一、设计任务完成情况 二、问题及改进 三、心得体会 鸣谢 附录

引言 题目:数字频率计的设计 初始条件: 本设计可以使用在数模电理论课上学过或没学过的集成器件和必要的门电路构建简易频率计,用数码管显示频率计数值。 要求完成的主要任务: ①设计一个频率计。要求用4位7段数码管显示待测频率,并用发光二极管表示单位。 ②测量频率的范围:100hz—100khz。 ③测量信号类型:正弦波和方波。 ④具有超量程报警功能。 摘要: 本次课程设是基于TTL系列芯片的简易数字频率计,数字频率计应用所学的数字电路和模拟电路的知识进行设计。在设计过程中,所有电路仿真均基于Multisim仿真软件。本课程设计介绍了简易频率计的设计方案及其基本原理,并着重介绍了频率计各单元电路的设计思路,原理及仿真,整体电路的的工作原理,控制器件的工作情况。设计共有三大组成部分:一是原理电路的设计,本部分详细讲解了电路的理论实现,是关键部分;二是性能测试,这部分用于测试设计是否符合任务要求。三是是对本次课程设计的总结。 关键字:频率计、TTL芯片、时基电路、逻辑控制、分频、计数、报警

第一章系统概述 一、设计方案的选择 信号的频率就是信号在单位时间内所产生的脉冲个数,其表达式为f=N/T,其中f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。计数器所记录的结果,就是被测信号的频率。如在1s内记录1000个脉冲,则被测信号的频率为1000HZ。测量频率的基本方法有两种:计数法和计时法,或称测频法和测周期法。 1、计数法 计数法是将被测信号通过一个定时闸门加到计数器进行计数的方法,如果闸门打开的时间为T,计数器得到的计数值为N1,则被测频率为f=N1/T。改变时间T,则可改变测量频率范围。如图(1-1-1) 计数值N1 被测信号 标准闸门 T 图 1-1-1 测频法测量原理 设在T期间,计数器的精确计数值应为N,根据计数器的计数特性可知,N1的绝对误差是N1=N+1,N1的相对误差为δN1=(N1-N)/N=1/N。由N1的相对误差可知,N的数值愈大,相对误差愈小,成反比关系。因此,在f以确定的条件下,为减少N的相对误差,可通过增大T的方法来降低测量误差。当T为某确定值时(通常取1s),则有f1=N1,而f=N,故有f1的相对误差:δf1=(f1-f)/f=1/f 从上式可知f1的相对误差与f成反比关系,即信号频率越高,误差越小;而信号频率越低,则测量误差越大。因此测频法适合用于对高频信号的测量,频率越高,测量精度也越高。

简易数字频率计设计

简易数字频率计设计报告 设计内容: 1、测量信号:方波、正弦波、三角波; 2、测量频率范围: 1Hz~9999Hz; 3、显示方式:4位十进制数显示; 4、时基电路由由555构成的多谐振荡器产生(当标准时间的精度要求较高时,应通过晶体振荡器分频获得); 5、当被测信号的频率超出测量范围时,报警。 设计报告书写格式: 1、选题介绍和设计系统实现的功能; 2、系统设计结构框图及原理; 3、采用芯片简介; 4、设计的完整电路以及仿真结果; 5、Protel绘制的电路原理图; 6、制作的PCB; 7、课程设计过程心得体会(负责了哪些内容、学到了什么、遇到的难题及解决方法等)。 电子课程设计过程: 系统设计→在Multisim2001下仿真→应用Protel 99SE绘制电路原理图→制作PCB →撰写设计报告

简易数字频率计课程设计报告 第一章技术指标 1.1整体功能要求 1.2系统结构要求 1.3电气指标 1.4扩展指标 1.5设计条件 第二章整体方案设计 2.1 算法设计 2.2 整体方框图及原理 第三章单元电路设计 3.1 时基电路设计 3.2闸门电路设计 3.3控制电路设计 3.4 小数点显示电路设计 3.5整体电路图 3.6整机原件清单 第四章测试与调整 4.1 时基电路的调测 4.2 显示电路的调测 4-3 计数电路的调测 4.4 控制电路的调测 4.5 整体指标测试 第五章设计小结 5.1 设计任务完成情况 5.2 问题及改进

5.3心得体会附录 参考文献

第一章技术指标 1.整体功能要求 频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。 2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 数字频率计整体方案结构方框图 3.电气指标 3.1被测信号波形:正弦波、三角波和矩形波。 3.2 测量频率范围:分三档: 1Hz~999Hz 0.01kHz~9.99kHz 0.1kHz~99.9kHz 3.3 测量周期范围:1ms~1s。 3.4 测量脉宽范围:1ms~1s。 3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误 差)。 3.6当被测信号的频率超出测量范围时,报警. 4.扩展指标 要求测量频率值时,1Hz~99.9kHz的精度均为+1。

基于单片机的数字频率计的设计与制作

摘要 在电子技术领域中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。本文设计的测量频率计由硬件电路和软件设计两部分组成。硬件电路以AT89S52单片机最小系统为核心,实现整个电路的测试信号控制、数据运算等功能,选用74LS160作为分频电路,并通过LCD显示模块显示测量的数据。软件设计包括:单片机定时计数程序、LCD显示程序等。该数字频率计可以对输入信号幅度为5V的正弦波信号、方波信号、三角波信号进行测量,测量的频率范围为1Hz--10MHz。测量的相对误差为 1%。本系统具有结构紧凑、体积小、可靠性高、测频范围宽、使用方便等优点。 关键字:数字频率计;信号;单片机

Abstract In the electronics field, the frequency is one of the most basic parameters, and is very closely related to many electrical parameters measurement program, measurement results, so the measurement of frequency becomes even more important. The measurement of frequency designed in this text consist of two parts: the hardware and software design .the hardware circuitry take AT89S52 microcomputer as the core, to achieve the functions of controlling of the entire circuit of the test signals, data operations and choose 74LS160 as a frequency divider circuits, and through LCD display module shows measured data. Software design includes: MCU timer counting procedures, LCD display procedures and so on. The digital frequency meter can measure amplitude sine wave signal, square wave, triangle wave signals of which input signal is 5v, the frequency measured ranges from 1Hz to10MHz. The relative measurement error is 1%. This system has the advantage of compact structure , small size, high reliability, test frequency range, and easy use. Keyword:Figure frequency meter;Signal;Single-chip 目录

数字频率计的设计与实现

目录 1. 引言 (1) 2.设计任务书 (1) 3. 数字频率计基本原理 (1) 3.1 设计思路 (1) 3.2 原理框图 (2) 4. 设计步骤及实现方法 (2) 4.1 信号拾取与整形 (2) 4.2 计数电路 (3) 4.3 锁存电路 (5) 4.4 译码显示电路 (6) 4.5 时钟电路及波形设计 (7) 5 总体电路图及工作原理 (10) 6 元器件的检测与电路调试缺点分析 (12) 7 心得体会 (12) 参考文献 (13)

1. 引言 数字频率计是一种基础测量仪器,在许多情况下,要对信号的频率进行测量,利用示波器可以粗略测量被测信号的频率,精确测量则要用到数字频率计。本设计项目可以进一步加深我们对数字电路应用技术方面的了解与认识,进一步熟悉数字电路系统设计与调试的方法和步骤。

2.设计任务书 1、设计题目:数字频率计 2、设计出一个数字频率计,其技术指标如下: ( 1 )频率测量范围: 10 ~ 9999Hz 。 ( 2 )输入电压幅度 >300mV 。 ( 3 )输入信号波形:任意周期信号。 ( 4 )显示方式:4位十进制数显示。 ( 5 )电源: 220V 、 50Hz 。 3、给定仪器设备及元器件 示波器、音频信号发生器、逻辑笔、万用表、数字集成电路测试仪、直流稳压电源。 4.电路原理要求简单,便于制作调试,元件成本低廉易购。

3. 数字频率计基本原理 3.1 设计思路 (1)利用光电开关管做电机转速的信号拾取元件,在电机的转轴上安装一圆盘,在圆盘上挖一小洞,小洞上下分别对应着光发射和光接受开关,圆盘转动一圈既光电管导通一次,利用此信号做为脉冲计数所需。 (2)计数脉冲通过计数电路进行有效的计数,按照设计要求每一秒种都必须对计数器清零一次,因为电路实行秒更新,所以计数器到译码电路之间有锁存电路,在计数器进行计数的过程中对上一次的数据进行锁存显示,这样做不仅解决了数码显示的逻辑混乱,而且避免了数码显示的闪烁问题。 (3)对于脉冲记数,有测周和测频的方式。测周电路的测量精度主要受电路系统的脉冲产生电路的影响,对于低频率信号,其精度较高。测频电路其对于正负一的信号差比较敏感,对于低频率信号的测量误差较大,但是本电路仍然采用测频方式,原因是本电路对于马达电机转速精度要求较低,本电路还有升级为频率计使用,而测频方式对高频的精度还是很高的。 时钟实现方法很多,本电路采用晶振电路,已求得高精度的时钟需求。3.2 原理框图 图3-1 系统框图

电子技术课程设计(数字频率计的设计)

一课程设计题目:数字频率计的设计 二、功能要求 (1)主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。 (2)率范围:分四1Hz~999Hz、01kHz~9.99kHz、1kHz~99.9kHz、10~999KHZ (3)周期范围:1ms~1s。 (4)用3个发光二极管表示单位,分别对应3个高档位。 三频率计设计原理框图 正弦波 数字频率计原理框图 1

测试电路原理:在测试电路中设置一个闸门产生电路,用于产生脉冲宽度为1s 的闸门信号。改闸门信号控制闸门电路的导通与开断。让被测信号送入闸门电路,当1s闸门脉冲到来时闸门导通,被测信号通过闸门并到达后面的计数电路(计数电路用以计算被测输入信号的周期数),当1s闸门结束时,闸门再次关闭,此时计数器记录的周期个数为1s内被测信号的周期个数,即为被测信号的频率。测量频率的误差与闸门信号的精度直接相关。 被测信号 频率测量算法对应的方框图 四、各部分电路及仿真 1 整形电路部分 整形电路的目的是将三角波、正弦波变成方便计数的脉冲信号。整形电路可以直接用555定时器构成施密特触发。 本次设计采用555定时器,适当连接若干个电阻就可以构成触发器 图1-1 整形电路 将555定时器的THR和TR1两个输入端连在一起作为信号输入端,则可得到 显示电路 闸门产生 输入电路闸门计数电路

施密特触发器,为了提高其稳定性通常要在要在CON端口接入一个0.01uf左右的滤波电容。但使用555定时器的时候输入的电压应该要大于5V,本次设计直接用信号源来做输入信号,并且信号源的振幅为10V,没有用放大电路将信号放大。 2 时基电路 时基电路时用来控制闸门信号选通的时间,由于本次设计的频率计测试范围是0到999KHz,故时基信号要有1ms 10ms 100ms 1s,基于上述,还需要一个分频器分出不同的频率。设计过程如下:可用一个多谐振电路产生频率为1KHz的脉冲信号(即T=1ms),然后使用分频器产生10ms 100ms 1s。 多谐振电路可以采用555定时器或者晶体振荡器来完成。本次设计采用555定时器实现,本次设计的精确度要求比较低,而且555定时器组成的多谐振荡起的最高振荡频率只能最多1MHz,而我们将用555定时器产生1Kz的频率,满足在该范围之内。分频器采用10分频,可用74LS90或者74LS160。 图2-1555定时器构成的多谐振振荡器 555多谐振振荡器设计参数:设计一个震荡周期为1ms,输出的占空比 2 3 q

数字频率计的设计与实现课程设计

课程设计任务书 学生:专业班级:通信 指导教师:工作单位:信息工程学院 题目: 数字频率计的设计与实现 初始条件: 本设计既可以使用集成脉冲发生器、计数器、译码器、单稳态触发器、锁存器、放大器、整形电路和必要的门电路等,也可以使用单片机系统构建简易频率计。用数码管显示频率计数值。要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个频率计。要求用4位7段数码管显示待测频率,格式为0000Hz。 2)测量频率围:10~9999Hz。 3)测量信号类型:正弦波、方波和三角波。 4)测量信号幅值:0.5~5V。 5)设计的脉冲信号发生器,以此产生闸门信号,闸门信号宽度为1s。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《理工大学课程设计工作规》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规。 时间安排: 1、2013年5 月17日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年 6 月18 日至2013 年6 月22 日,方案选择和电路设计。 3、2013 年6 月22 日至2013 年7 月1 日,电路调试和设计说明书撰写。 4、2013年7月5日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) 1电路的设计思路与原理 (4) 1.1电路设计方案的选择 (4) 1.1.1方案一:利用单片机制作频率计 (4) 1.1.2方案二:利用锁存器与计数器制作频率计 (5) 1.1.3方案三:利用定时电路与计数器制作频率计 (6) 1.1.4方案确定 (7) 1.2 原理及技术指标 (8) 1.3 单元电路设计及参数计算 (9) 1.3.1时基电路 (9) 1.3.2放大整形电路 (10) 1.3.3逻辑控制电路 (11) 1.3.4计数器 (13) 1.3.5锁存器 (15) 1.3.6译码电路 (16) 2仿真结果及分析 (16) 2.1仿真总图 (16) 2.2单个元电路仿真图 (17) 2.3测试结果 (20) 3测试的数据和理论计算的比较分析 (20) 4制作与调试中出现的故障、原因及排除方法 (20) 4.1故障a (20) 4.2故障b (21) 4.3故障c (21) 4.4故障d (21) 4.5故障e (22) 5 心得体会 (22)

数字频率计_课程设计报告

电气与信息工程学院 数字频率计 设计报告书 前言 摘要:在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的 测量就显得更为重要。测量频率的方法有多种,其中数字计 数器测量频率具有精度高、使用方便、测量迅速,以及便于 实现测量过程自动化等优点,是频率测量的重要手段之一。 其原理为通过测量一定闸门时间内信号的脉冲个数。本文阐 述了设计了一个简单的数字频率计的过程。 关键词:频率计,闸门,逻辑控制,计数-锁存

目录 第一章设计目的 第二章设计任务和设计要求 2.1 设计任务及基本要求 2.2.系统结构要求 第三章系统概述 3.1概述 3.2设计原理及方案 第四章单元电路设计及分析 4.1 时基电路 4.2逻辑控制电路 4.3计数电路 4.4锁存电路 4.5显示译码电路 4.6 闸门电路 第五章安装与调试过程 5.1 电路的安装过程 5.2 电路的调试过程 5.3 出现的问题及解决办法 第六章结果分析 第七章收获与体会

第八章元件清单 第九章实现结果实物图 附录A 参考文献 第一章 设计目的: 1.了解数字频率计测量频率与测量周期的基本原理; 2.熟练掌握数字频率计的设计与调试方法及减小测量误 差的方法。 3.本设计与制作项目可以进一步加深我们对数字电路应 用技术方面的了解与认识,进一步熟悉数字电路系统设计、制作与调试的方法和步骤。 4.针对电子线路课程要求,对我们进行实用型电子线路设 计、安装、调试等各环节的综合性训练,培养我们运用课程中所学的理论与实践紧密结合,独立地解决实际问题的能力。

第二章 设计任务及要求: 2.1设计任务及基本要求: 设计一简易数字频率计,其基本要求是: 1)测量频率范围0~9999Hz; 2)最大读数9999HZ,闸门信号的采样时间为1s;. 3)被测信号可以是正弦波、三角波和方波; 4)显示方式为4位十进制数显示; 5)完成全部设计后,可使用EWB进行仿真,检测试验设计电路的正确性。 2.2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量。 波形 整 形 计 数 器 数 码 显 示 振荡 电 路分 频 器 控 制 门 数 据 锁 存 器 显 示 译 码 器 被测 信 号

数字频率计的设计

数字频率计的设计 摘要:采用STC89C52RC单片机作为系统的核心控制器件,该系统采用直流供电,由信号输入模块、信号相加模块、滤波模块、信号比较器模块,电平转换模块组成,具有信号输入、测信号频率、测量矩形方波占空比的功能,并且具有测量精度高功耗低、抗干扰能力强等特点。

1 方案设计与比较

信号混合电路模块 方案一:同相加法器。加法器是一种数位电路,其可进行信号的加法计算。加法器是产生数的和的装置。加数和被加数为输入,和数与进位为输出的装置为半加器。若加数、被加数与低位的进位数为输入,而和数与进位为输出则为全加器。同相加法器输入阻抗高,输出阻抗低反相加法器输入阻抗低,输出阻抗高当选用同相加法器时,如A输入信号时,因为是同相加法器,输入阻抗高,这样信号不太容易流入加法器,反而更容易流入B端,而影响到B端的正常使用;同样,如B输入信号时,容易流入A端,而影响到A端的正常使用。 方案二:反相加法器。当选用反相加法器时,因为加法器输入阻抗低,不管是A端,还是B端信号,更容易流入加法器,而不会影响其它路的正常使用。 综上所述选择方案一。 滤波电路模块 方案一:选用有源二阶切比雪夫高通滤波器。切比雪夫滤波电路在通带或阻带上频率响应幅度等波纹波动的滤波器。切比雪夫滤波器在过渡带比巴特沃斯滤波器的衰减快,但频率响应的幅频特性不如后者平坦。切比雪夫滤波器和理想滤波器的频率响应曲线之间的误差最小,但是在通频带内存在幅度波动,有可能有纹波波动导致电压达到施密特触发器的上限或下限出发电平,导致误触发,输出方波可能严重失真。 方案二:选用有源二阶巴特沃斯高通滤波器。巴特沃斯滤波电路的幅频响应在通带中具有最平幅度特性没有起伏,而在阻频带则逐渐下降为零,由于巴特沃斯滤波电路的幅频响应曲线很平滑,没有起伏,可以有效规避施密特比较器中的误触发,所以选用幅频响应曲线最平滑的巴特沃斯型滤波器,可以有效规避误触发。 综上所述选择方案二。

数字频率计的设计

电子测量实训报告 姓名:X X X 院系:X X X X 学院 专业:07电子信息工程 学号: 指导教师: 完成时间: 2010 年 9月 7 日

目录 第1章引言 (3) 1.1数字频率计的概述 (3) 1.2设计任务 (3) 1.3设计目的 (4) 1.4设计方案 (4) 1.5频率计设计原理 (5) 第2章系统硬件设计 (5) 2.1电路原理图设计 (5) 2.2单元电路介绍 (6) 2.3 74LS90引脚及其说明 (8) 2.4 74LS47的介绍 (9) 2.5 74LS123的介绍 (10) 第3章硬件调试 (11) 第4章实训小结 (10) 第5章附录 (13) 附录1 硬件电路原理图和连接图 (13) 附录2 元器件清单 (14) 附录3 参考文献 (14)

数字频率计的设计 摘要:本实训报告是关于数字频率计设计的简要介绍。采用直接测频法的方案来完成本次实训设计。其组成部分有时基电路、闸门电路、逻辑控制电路以及可控制的计数、译码、显示电路。该设计主要用于数码管的显示功能,在四位LED数码管上对输入信号频率进行显示,并能够准确运行。 关键词:数字频率计、计数脉冲、单稳态电路、闸门电路、锁存、频率显示 第1章引言 1.1数字频率计的概述 数字频率计是直接用十进制数字来显示被测信号频率的一种测量装置。它不仅可以测量正弦波,方波,三角波和尖脉冲信号的频率,而且还可以测量他们的周期。数字频率计在测量其他物理量如转速、振荡频率等方面获得广泛应用。所谓频率,就是周期性信号在单位时间(1s)里变化的次数。若在一定时间间隔T内测得的这个周期性信号的重复变化次数N,则其频率可表示为:f =N/T。 1.2设计任务 设计一个数字频率计系统,频率在四位数码管上进行显示,如下图。从左到右依次为频率的千位、百位、十位、个位。 设计要求: (1)位数: 能计4位十进制数,计数位数主要取决于被测信号频率的高低,如果被测信号频率较高,精度又较高,可相应增加显示位数。 (2)量程: 最大读数为9999Hz,闸门信号的采样时间为1s。 (3)显示方式: 用七段LED数码管显示读数,做到显示稳定、不跳变。

数字频率计课程设计

课程设计任务书 学生姓名:覃朝光专业班级:通信1103 指导教师:工作单位:信息工程学院 题目: 数字频率计的设计与实现 初始条件: 本设计既可以使用集成脉冲发生器、计数器、译码器、单稳态触发器、锁存器、放大器、整形电路和必要的门电路等,也可以使用单片机系统构建简易频率计。用数码管显示频率计数值。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个频率计。要求用4位7段数码管显示待测频率,格式为0000Hz。 2)测量频率范围:10~9999Hz。 3)测量信号类型:正弦波、方波和三角波。 4)测量信号幅值:0.5~5V。 5)设计的脉冲信号发生器,以此产生闸门信号,闸门信号宽度为1s。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2013年5 月17日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年 6 月18 日至2013 年6 月22 日,方案选择和电路设计。 3、2013 年6 月22 日至2013 年7 月1 日,电路调试和设计说明书撰写。 4、2013年7月5日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) 1电路的设计思路与原理 (4) 1.1电路设计方案的选择 (4) 1.1.1方案一:利用单片机制作频率计 (4) 1.1.2方案二:利用锁存器与计数器制作频率计 (5) 1.1.3方案三:利用定时电路与计数器制作频率计 (5) 1.1.4方案确定 (6) 1.2 原理及技术指标 (6) 1.3 单元电路设计及参数计算 (8) 1.3.1时基电路 (8) 1.3.2放大整形电路 (9) 1.3.3逻辑控制电路 (9) 1.3.4计数器 (11) 1.3.5锁存器 (12) 1.3.6译码电路 (13) 2仿真结果及分析 (13) 2.1仿真总图 (13) 2.2单个元电路仿真图 (14) 2.3测试结果 (17) 3测试的数据和理论计算的比较分析 (17) 4制作与调试中出现的故障、原因及排除方法 (17) 4.1故障a (17) 4.2故障b (18) 4.3故障c (18) 4.4故障d (18) 4.5故障e (18) 5 心得体会 (19)

(最新整理)数字频率计设计与制作

(完整)数字频率计设计与制作 编辑整理: 尊敬的读者朋友们: 这里是精品文档编辑中心,本文档内容是由我和我的同事精心编辑整理后发布的,发布之前我们对文中内容进行仔细校对,但是难免会有疏漏的地方,但是任然希望((完整)数字频率计设计与制作)的内容能够给您的工作和学习带来便利。同时也真诚的希望收到您的建议和反馈,这将是我们进步的源泉,前进的动力。 本文可编辑可修改,如果觉得对您有帮助请收藏以便随时查阅,最后祝您生活愉快业绩进步,以下为(完整)数字频率计设计与制作的全部内容。

数字频率计设计与制作 王峰, 电子工程系 摘要:数字频率计是一种可以用十进制数字显示被测信号频率的测量仪器。被测信号可以是任何周期性变化的信号如正弦波、方波、三角波等等。如果加入放大电路,通过传感器则可以对许多微弱的、规律的物理量进行测量,例如声音、机械振动、转速的频率等等。使用频率计能让我们直观的看到信号的频率,其方便性、简单性、准确性使其具有较高的实用价值。因此数字频率计是一种应用很广泛的仪器,在计算机、通讯设备、自动化等科研生产领域起着重要作用。对于本次课题“数字频率计设计与制作”,我选用了555定时器产生时基信号,单稳态触发器74LS273来控制电路中的锁存,计数器74LS90来计数,74LS48进行译码并通过数码管显示。运用数字集成芯片给设计减少了很多不必要的麻烦。 关键词:数字频率计;锁存;译码;计数 Digital Frequency Meter Design and Fabrication Wangfeng, Electronic Information Engineering Abstract:Digital Frequency Meter is a measuring device, it can using decimal numeral reveal the signal frequency。 The measured signal was variety seasonal signal, such as sinusoidal wave, square wave, triangle wave and so on. If we using amplify circuit, we can also use sensing element measuring so many faint and regular signals, for example voice, inflexible vibrate and rotation rate. Digital Frequency Meter can make us intuitively sight the signal frequency,it’s conveniently, simply and accuracy, so it has enormously worthy in many fields, include computer, communication apparatus, automation equipment and so on。For about this subject study,the Digital Frequency Meter Design and Fabrication,I select 555_timer produce a normal time signal, using Monostable Trigger 74LS273 constitute flip-latch,using counter flip-flop 74LS90 count,using 74LS48 constitute a code translator and usig Mixie light reveal frequency。 Apply digital integrated circuit chip help me save so many time and reduce a number of inconvenience. Key words:Digital Frequency Meter; flip—flop; code translator; counter

[课程设计]数字频率计逻辑电路设计

数字频率计逻辑电路设计 一﹑简述 在进行模拟﹑数字电路的设计﹑安装和调试过程中,经常要用到数字频率计。 数字频率计实际上就是一个脉冲计数器,即在单位时间里(如1秒)所统计的脉冲个数,如图3.1计数时序波形图所示。频率数即为在1秒内通过与门的脉冲个数。 图3.1(a)门控计数 图3.1(b)门控序列 通常频率计是由输入整形电路﹑时钟振荡器﹑分频器﹑量程选择开关﹑计数器﹑显示器等组成。如图3.2所示。

图3.2 方框图 图3.2中,由于计数信号必须为方波信号,所以要用史密特触发器对输入波形进行整形,分频器输出的信号必须为1Hz,即脉冲宽度为1秒,这个秒脉冲加到与门上,就能检测到待测信号在1秒内通过与门的个数。脉冲个数由计数器计数,结果由七段显示器显示。二﹑设计任务和要求 设计一个八位的频率计数器逻辑控制线路,具体任务和要求如下: 1. 八位十进制数字显示。 2. 测显范围为1Hz~10MHz。 3. 量程分为四档,分别为*1000﹑*100﹑*10﹑*1。 三﹑可选用器材 1. NET系列数字电子技术实验系统 2. 直流稳压电源

3. 集成电路:频率计数器专用芯片ICM7216B,74LS93,74LS123,74LS390,7555及门电路 4. 晶振:8MHz,10MHz 5. 数显:CL102,CL002,LC5011—11 6. 电阻﹑电容等 四﹑设计方案提示 数字频率计可分为三部分进行考虑: 1. 计数﹑译码﹑显示 这一部分是频率计数器不可少的。即外部整形后的脉冲。通过计数器在单位时间里进行计数﹑译码和显示。计数器选用十进制的中规模(TTL/CMOS)集成计数器均可,译码显示可采用共阴或共阳的配套器件。例如计数器选用74LS161,译码器为74LS248,数显器为LC5011—11。也可选用四合一计数﹑寄存﹑译码﹑显示CL102或专用大规模频率计数器ICM7216芯片等。 中规模组成的计数﹑译码显示和四合一的数显。我们在基本实验和前几个课题中都已使用过,使用时,可参阅有关章节。下面介绍一下专用八位通用频率计数器ICM7216的特点及性能。 ICM7216是用COMS工艺制造的专用数字集成电路,专用于频率﹑周期﹑时间等测量。ICM7216为28管脚,其电源电压为5V。针对不同的使用条件和用途,ICM7216有四种类型产品,其中显示方式为共阴极LED显示器的为ICM7216 B型和D型,而显示方式为共阳极LED显示器的为ICM7216 A型和C型。图3.3为ICM7216B型的外管脚排列图。A﹑

数字频率计设计 毕业设计

毕业设计(论文)任务书 课题名称数字频率设计课题性质毕业论文 专业楼宇智能化工程技术班级 11级学生姓名学号 113121 指导教师教研室主任系部主任 发放日期 一、课题条件: 1.分析频率计的设计方法; 2.利用现有的仿真软件进行波形仿真; 二、毕业论文(设计)主要内容: 1、测量信号:方波; 2、测量频率范围:1KHZ~9999HZ;10KHZ~100KHZ; 3、显示方式:4位十进制数显示; 4、时基电路由555定时器及分频器组成,555振荡器产生脉冲信号,经分频器分频产生的时基信号,其脉冲宽度分别为:1秒,0.1秒; 5、当被测信号的频率超出测量范围时,报警。 三、计划进度: 1. 资料的收集撰写开题报告 7月18日至9月8日 2. 方案设计 9月9日至9月15日 3. 电路的设计指标分析与确定;后期的电路优化元器件的选择与参数确定 9月16日至11月2日 4. 毕业设计论文的修改、完善 11月3日至11月10日 5. 毕业设计答辩11月15 日至11月20日 6. 毕业设计工作总结11月20日至11月25日 四、主要参考文献: (1)电子技术基础(第三版) (2)电子产品的设计与制作工艺 (3)电子设计技术杂志 (4)现代电子学及应用1 (5)AD (6)数字电子技术基础阎石主编高等教育出版社 指导教师(系)教研室主任 年月日年月日

摘要 频率计又称为频率计数器,是一种专门对被测信号频率进行测量的电子测量仪器。其最基本的工作原理为:当被测信号在特定时间段T内的周期个数为N 时,则被测信号的频率f=N/T。 频率计主要由四个部分构成:时基(T)电路、输入电路、计数显示电路以及控制电路。在一个测量周期过程中,被测周期信号在输入电路中经过放大、整形、微分操作之后形成特定周期的窄脉冲,送到主门的一个输入端。主门的另外一个输入端为时基电路产生电路产生的闸门脉冲。在闸门脉冲开启主门的期间,特定周期的窄脉冲才能通过主门,从而进入计数器进行计数,计数器的显示电路则用来显示被测信号的频率值,内部控制电路则用来完成各种测量功能之间的切换并实现测量设置。 在传统的电子测量仪器中,示波器在进行频率测量时测量精度较低,误差较大。频谱仪可以准确的测量频率并显示被测信号的频谱,但测量速度较慢,无法实时快速的跟踪捕捉到被测信号频率的变化。正是由于频率计能够快速准确的捕捉到被测信号频率的变化,因此,频率计拥有非常广泛的应用范围。 在传统的生产制造企业中,频率计被广泛的应用在产线的生产测试中。频率计能够快速的捕捉到晶体振荡器输出频率的变化,用户通过使用频率计能够迅速的发现有故障的晶振产品,确保产品质量。 在计量实验室中,频率计被用来对各种电子测量设备的本地振荡器进行校准。在无线通讯测试中,频率计既可以被用来对无线通讯基站的主时钟进行校准,还可以被用来对无线电台的跳频信号和频率调制信号进行分析。 常用的频率测量方法有测频法、测周法、测周期/频率法、F/V与A/D法。本文阐述了用测频法构成的数字频率计。 关键词:逻辑控制,计数器,时基(T)电路、输入电路、计数显示电路以及控制电路。

简易数字频率计的设计与制作

简易数字频率计的设计与制作 作者:赵玉龙 【摘要】:本设计是基于单片机内部的两个定时器/计数器与外围硬件相结合,并通过一定的软件控制达到测量频率的目的的简易数字频率计,可以直接精确测量1KHZ到65.535KHZ的频率范围。本设计的优点在于直接利用单片机进行频率的测量,更加的方便,实用。 【关键词】:单片机频率测量

前言 单片机即单片微控制器单元,由微处理器,存储器,I/O接口,定时器/计数器等电路集成在一块芯片上构成,现在应用于工业控制,家用民用电器以及智能化仪器仪表,计算机网络,外设,通信技术中,具有体积小、重量轻、性价比高、功耗低等特点, 同时具有较高的抗干扰性与可靠性可供设计开发人员灵活的运用各种逻辑操作,实现实时控制和进行必要的运算.目前单片机更朝着大容量、高性能与小容量、低廉化、外围电路内装化以及I/O接口的增强和能耗降低等方向发展.本设计的意义在于如何利用较少的硬件达到直接测量较高精度频率的目的,更加的方便,快捷,相对于传统的数字频率计实用性更高。

第一章 系统硬件电路的设计 1.1方案的选择: 方案一.采用组合电路和时序电路等大量的硬件电路来构成,利用555多谐振荡产生闸门时间,两个D 触发器来进行门控信号的选择,数码管,以及其他硬件电路组成。 方案二.利用一块AT89C51单片机芯片直接来驱动数码管。 比较方案一与方案二在实现功能一样的情况下,我们可以发现纯粹利用硬件电路来实现不仅产品体积较大,运行速度慢,而且增加了许多的硬件成本,而利用单片机体积小、功能强、性能价格比较高等特点,在实际使用时节约了很多的硬件成本,符合设计的要求,故而本设计选择方案二来实现频率的测量. 1.2系统功能分析 本系统是基于单片机的简易数字频率计,在硬件的基础上通过软件的控制 达到频率测量的目的,整个系统工作由软件程序控制运行。整个系统主要可以分为两个部分,频率测量单元和频率显示单元。频率测量单元主要完成对被测信号的测量,而显示单元主要完成用数码形式将测量结果显示出来。 1.3.系统的方框图: 被测信号通过单片机的内部处理,完成对被测信号的测量,经过转换以数字形式显示出来。 图一 系统方框图 具体情况如下: 将单片机定时/计数器0设置成定时器方式,由它对单片机机器周期信号计数定时,形成时间间隔T,去控制单片机定时/计数器1的启动和停止, 单片机定时计数器1设置成计数器方式,由它对被测信号计数. 这里需要说明能够的是单片机内的两个定时/计数器在同一时刻不能既作为计数器使用又作为定时器使用,如设置成定时器模式就不能作为计数器使用;如设置成计数器模式就不能作为定时器使用. 1.4.各功能部件单元电路设计

简单数字频率计的设计与制作

简单数字频率计的设计与制作 1结构设计与方案选择 1.1设计要求 (1)要求用直接测量法测量输入信号的频率 (2)输入信号的频率为1~9999HZ 1.2设计原理及方案 数字频率计是直接用十进制的数字来显示被测信号频率的一种测量装置。它不仅可以测量正弦波、方波、三角波和尖脉冲信号的频率,而且还可以测量它们的周期。 所谓频率就是在单位时间(1s)内周期信号的变化次数。若在一定时间间隔T内测得周期信号的重复变化次数为N,则其频率为f=N/T(1-1)据此,设计方案框图如图1所示: 图1 数字频率计组成框图 图中脉冲形成的电路的作用是将被测信号变成脉冲信号,其重复频率等于被测信号的频率fX。时间基准信号发生器提供标准的时间脉冲信号,若其周期为1s,则们控电路的输出信号持续时间亦准确的等于1s。闸门电路由标准秒信号进行控制当秒信号来到时,闸门开通,被测脉冲信号通过闸门送到计数器译码显示电路。秒信号结束时闸门关闭,技计数器得的脉冲数N是在1秒时间内的累计数,所以被测频率fX= N Hz。 被测信号fX经整形电路变成计数器所要求的脉冲信号○1,其频率与被测信号的频率相同。时基电路提供标准时间基准信号○2,其高电平持续时间t1=1 秒,当l秒信号来到时,闸门开通,被测脉冲信号通过闸门,计数器开始计数,直到l秒信号结束时闸门关闭,停止计数。若在闸门时间1s内计数器计得的脉冲个

数为N,则被测信号频率f=NHz,如图2(a)所示,即为数字频率计的组成框图。 图2(a)数字频率计的组成框图 图2(b)数字频率计的工作时序波形 逻辑控制单元的作用有两个: 其一,产生清零脉冲④,使计数器每次从零开始计数; 其二,产生所存信号⑤,是显示器上的数字稳定不变。这些信号之间的时序关系如图2(b)所示数字频率计由脉冲形成电路、时基电路、闸门电路、计数锁存和清零电路、译码显示电路组成。

数字频率计的设计

& 长安大学 电子技术课程设计 数字频率计的设计 ) 专业: 班级: 姓名 指导教师: : 日期:

目录 引言 第一章系统概述 一、设计方案的选择 ¥ 1、计数法 2、计时法 二、整体框图及原理 第二章单元电路设计 一、放大电路设计 二、闸门电路设计 三、时基电路设计 四、控制电路设计 | 五、报警电路设计 六、整体电路图 七、整机元件清单 第三章设计小结 一、设计任务完成情况 二、问题及改进 三、心得体会 鸣谢 " 附录

} 引言 题目:数字频率计的设计 初始条件: 本设计可以使用在数模电理论课上学过或没学过的集成器件和必要的门电路构建简易频率计,用数码管显示频率计数值。 要求完成的主要任务: ①设计一个频率计。要求用4位7段数码管显示待测频率,并用发光二极管表示单位。 ②测量频率的范围:100hz—100khz。 《 ③测量信号类型:正弦波和方波。 ④具有超量程报警功能。 摘要: 本次课程设是基于TTL系列芯片的简易数字频率计,数字频率计应用所学的数字电路和模拟电路的知识进行设计。在设计过程中,所有电路仿真均基于Multisim仿真软件。本课程设计介绍了简易频率计的设计方案及其基本原理,并着重介绍了频率计各单元电路的设计思路,原理及仿真,整体电路的的工作原理,控制器件的工作情况。设计共有三大组成部分:一是原理电路的设计,本部分详细讲解了电路的理论实现,是关键部分;二是性能测试,这部分用于测试设计是否符合任务要求。三是是对本次课程设计的总结。 关键字:频率计、TTL芯片、时基电路、逻辑控制、分频、计数、报警

… 第一章系统概述 一、设计方案的选择 信号的频率就是信号在单位时间内所产生的脉冲个数,其表达式为f=N/T,其中f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。计数器所记录的结果,就是被测信号的频率。如在1s内记录1000个脉冲,则被测信号的频率为1000HZ。测量频率的基本方法有两种:计数法和计时法,或称测频法和测周期法。 、 1、计数法 计数法是将被测信号通过一个定时闸门加到计数器进行计数的方法,如果闸门打开的时间为T,计数器得到的计数值为N1,则被测频率为f=N1/T。改变时间T,则可改变测量频率范围。如图(1-1-1)

简易数字频率计设计实验报告

电子线路课程设计报告 姓名: 学号: 专业:电子信息 日期:2014.4.13 南京理工大学紫金学院电光系 2014-4-13

引言 《电子线路课程设计》是一门理论和实践相结合的课程。它融入了现代电子设计的新思想和新方法,架起一座利用单元模块实现电子系统的桥梁,帮助学生进一步提高电子设计能力。对于推动信息电子类学科面向21世纪课程体系和课程内容改革,引导、培养大学生创新意识、协作精神和理论联系实际的学风,加强学生工程实践能力的训练和培养,促进广大学生踊跃参加课外科技活动和提高毕业生的就业率都会起到了良好作用。 该课程主要内容: (1)了解和掌握一个完整的电子线路设计方法和概念; (2)通过电子线路设计、仿真、安装和调试,了解和掌握电子系统研发产品的一个基本流程。 (3)了解和掌握一些常见的单元电路设计方法和在电子系统中的应用:包括放大器、滤波器、比较器、光电耦合器、单稳、逻辑控制、计数和显示电路等。 (4)通过编写设计文档与报告,进一步提高学生撰写科技文档的能力。 (5)电子线路课程设计课题: 设计并制作一个基于模电和数电的简易数字频率计。

目录 第一章设计要求................................................. 1.1 基本要求........................................... 1.2 提高部分........................................... 1.3 设计报告........................................... 第二章整体方案设计............................................. 2.1 算法设计........................................... 2.2 整体方框图及原理................................... 第三章单元电路设计............................................. 3.1 模电部分设计....................................... 3.1.1 放大电路........................................ 3.1.2 滤波电路........................................ 3.1.3 比较电路........................................ 3.1.4 模电总体电路.................................... 3.2 数电部分设计....................................... 3.2.1 时基电路........................................ 3.2.2 单稳态电路...................................... 3.2.3 计数、译码、显示电路............................ 3.2.4 数电总体电路.................................... 第四章测试与调整............................................... 4.1 时基电路的调测..................................... 4.2 计数电路的调测..................................... 4.3 显示电路的调测..................................... 第五章设计小结................................................. 5.1 设计任务完成情况................................... 5.2心得体会...........................................

相关文档