文档库 最新最全的文档下载
当前位置:文档库 › PRBS7

PRBS7

PRBS7
PRBS7

高速串行总线的常用测试码型

类别:接口电路阅读:884

本文讨论了高速串行链路中常用的测试码型伪随机码流的原理,以及不同的测试码型对物理层测试结果的影响。

高速串行总线的常用测试码型在当今的电信和计算机产品上,相比传统的并行总线,电路中的串行总线越来越多,速率越来越快。比如通信产品中的10GBase-KR、CPRI2代,计算机中的PCIeGen2、SATA6G,存储产品中的SAS6G、FC8.5G,这些串行总线都陆续的跨过了5Gbps。由于速率比较高,使得串行总线上的相关的收发器芯片(SERDES)、连接器、单板、背板的设计面临着越来越多的挑战。对于这些高速串行总线的物理层测试,测试码型的选用至关重要,在很多串行总线的规范中对测试码型有严格的要求。本文将对此进行探讨。

首先,串行总线的物理层测试通常分为发射机测试和接收机测试,又称为TX测试和RX测试。发射机测试通常包括眼图、抖动、信号波形、幅度、上升下降时间等测试项目,接收机测试通常包括误码率、抖动容限、接收机灵敏度等测试项目。对于眼图测试、误码率和抖动容限测试,最常用的测试码是伪随机码(PseudoRandomBinarySequence,简称PRBS),主要有PRBS7、PRBS15、PRBS23和PRBS31。除了PRBS以外,K28.5、1010、CJPAT等码型在很多串行总线的物理层测试中都很常用,特别是计算机上的串行标准(比如SATA、USB3.0、SAS)的测试码型有所不同,在本文中主要讨论最常用的测试码型——PRBS。PRBS的实现方法顾名思义,PRBS是伪随机码流,在其码流中包括了所有可能出现的比特组合,而且其出现的概率是相同的。PRBS信号是由PRBS码型发生器生成的。PRBS发生器通常是由线性反馈移位寄存器(LinearFeedbackShiftRegister,简称LFSR)和异或电路组成。如下图1所示为最简单的PRBS3的码型发生器,其多项式为

X3+X2+1,即寄存器的第3位与第2位做异或(XOR)的逻辑运算后返回到寄存器的第1位,寄存器的第3位X3同时也是PRBS3发生器的输出。

图1:PRBS3码型发生器原理在表格1中显示了PRBS3的n个周期的时钟后输出n个比特的码流。X1/X2/X3分别是3个比特移位寄存器的低位到高位,输出位是X3,初始状态为X1/X2/X3=1/1/1,如表格第1行所示。

第1个时钟沿到达后,X3与X2异或后等于0,输入到X1,同时X1和X2前移到X2和X3,所以X1/X2/X3=0/1/1,如表格第2行所示;第2个时钟沿到达后,X3与X2异或后等于0,输入到X1,同时X1和X2前移到X2和X3,所以X1/X2/X3=0/0/1,

如表格第3行所示;第3个时钟沿到达后,X3与X2异或后等于1,输入到X1,同时X1和X2前移到X2和X3,所以X1/X2/X3=1/0/0,如表格第4行所示;…… 以此类推,第5行为0/1/0,第6行为1/0/1,第7行为1/1/0,第8行为1/1/1,与初始状态相同,接下来的第9行和第10行与第2行和第3行完全相同,也就是说PRBS3的码型输出7个比特后开始重复。X3是PRBS3的输出位,所以PRBS3输出的码型为1110010,码长为7,如图2所示,每7个比特后开始重复输出同型。在PRBS3中包括了11100属于码流中频率最低的码型,010是频率最高的码型。表格1:PRBS3的3位寄存器数值

图2:PRBS3输出信号为何PRBSN的码长为2^N-1在PRBS3的3位寄存器可以产生2的3次方合计8个排列组合。采用XOR异或电路的PRBS发生器在3个比特都是0的时候,下一个时钟到来的时候,第3个比特和第2个比特异或后还是0,输入到寄存器的第一位还是0,同时第1个和第2个比特前移到第2个和第3个比特,这样,移位后寄存器的3个比特都是0,则PRBS发生器的输出一直都是0,被锁住。所以PRBS3码流中不能出现3个全0的比特,这样,PRBS3的组合方式为2^3-1=7共7种,码长位7个比特。同理,PRBS7的码长为2^7-1=127个比特,PRBS15的码长为2^15-1=32767个比特。PRBS7和PRBS31PRBS7是目前10Gbps以下的串行总线中最常用的测试码型。常用的PRBS7的多项式为X7+X6+1,码长为127个比特,在ITU-TV.29规范中规定。PRBS7

属于短伪随机码型,与8b10bNRZ编码的数据流很相似,所以,在PCIe、SATA、XAUI、1000BASE-LX、FC、SAS等采用8b10b编码的串行总线中,PRBS7是最常用的测试码型,

支持这些总线的芯片通常都可以输出PRBS7测试码型,用于眼图、抖动或误码率测量。

图3:PRBS7码型发生器原理PRBS7的码流中最长的连1为7个,最长的连0为6个;而8b10b编码中最长的连1为5,最长的连0也是5;因此,相比8b10b编码,PRBS7可以产生的较低频率的码型。使用PRBS7作为驱动源,在同一信道(比如背板上20英寸长走线)上传输到接收端后得到眼图和抖动,都比8b10b编码的作为驱动源时的结果稍差些。这样,PRBS7作为8b10b编码的串行总线的测试码型,留出了一定的设计余量。

图4:几种常用PRBS的说明PRBS31的多项式为X31+X28+1,码长为

2^31-1=2147,483,647个比特,属于长伪随机码型。码流中最长的连1为31个,最长的连0为30个,包含了相当多的低频成分而这正是SONET/SDH系统测试规范中所要求的,所以可用于像SONET/SDH信号那样包含了很多低频成分的物理层测量。

除了PRBS外,K28.5也是常用的测试码型之一。K28.5是8b10b编码表中的一个命令字,也是采用8b10b编码的串行链路中最常用的测试码型,由K28.5-=0011111010和

K28.5+=1100000101组成,它有五个连续的1和五个连续的0,是8b10b编码中最长的连1和连0,也就是说K28.5包括了8b10b编码中最低频的码型,同时,K28.5中有101和010这样的高频码型,因此,K28.5非常适合测量和SI仿真时作为驱动端的激励,来计算信道的码间干扰(又称ISI)。我们知道,在8Gbps以下的串行总线上,8b10b编码是最常用的编码技术,这样也导致了K28.5是最流行的测试码型之一。在FC和XAUI标准中,也规定了K28.5为固有抖动的测试码型。

各种测试码型在同一信道上传输到接收端的测试结果分析图5所示为高速背板、电缆的物理层测试的示意图,在发送端使用码型发射器作为驱动信号源,接收端使用误码检测器测量误码,或者使用示波器测量眼图。这样,就组成了完整的高速背板、电缆的

物理层测试系统。

图5:高速印刷电路板的评估与测试系统在本次测试中,我们使用了美国Centellax 公司的可编程码型发生器PPG12500,PPG12500的输出信号速率可在1G到12.5Gbps任意调节。把输出信号的比特率设置为10.3125Gbps,幅度1V,去加重为1dB,输出码型分别为PRBS7/15/23/31。信道为某24英寸长PCB走线,在接收端使用Centellax的误码仪PCB12500测量误码率。表格2为测试结果,可见,驱动码型为短码型PRBS7时,误码率为0,而驱动码型为长码型PRBS31时,接收端的误码率最高。随着串行速率不断升高,8b10b编码在某些高速率链路上已不被采用,因此,在这些链路中很少使用PRBS7作为测试码型,几乎都使用了PRBS31作为测试码型,以产生最大的抖动和最恶化的激励信号。表格2:误码

率测试结果

Centellax的PPG12500产品介绍,特点,应用范围。

Centellax公司的PPG12500是速率在1-12.5Gbps可调的可编程码型发射器,相比其他码型发射器,有以下特点: 1.可编程码型长度高达24M个比特 2.内置了2个tap的去加重,可以用于评估某特定长度背板走线的TX端所需的去加重程度,而很多码型发射器都没有内置去加重功能,必须使用昂贵的外置去加重仪器附件。如下图6所示为示波器测量PPG12500输出的某6dB去加重的波形。

3.抖动透明传递功能,即码型发射器的参考输入时钟中注入抖动后,PPG12500的输出数据中也带有同类型抖动。如下图7为PPG12500搭配Centellax的时钟源TG1C1A,可以输出比特率为1-12.5Gbps、带有正弦抖动的串行数据。

4.可前面板控制,或者使用USB和GPIB遥控,提供了Labview驱动或者GUI来

控制。

图6:PPG12500输出的带6dB去加重的码型

图7:Centellax的码型发射器PPG12500与时钟源TG1C1A 结语在高速数字电路的互联设计中,伪随机码型PRBS是最常用的测试码型,Centellax的解决方案(码型发射器PPG12500加时钟源TG1C1A)提供了1-12.5G的比特率任意可调、集成去加重、可注入正弦抖动等强大功能,可以作为验证高速背板与高速电缆的驱动信号源、或者接收机抖动容限的加压信号源、以及发送端去加重程度的仿真器,是目前业界最高性价比的码型发生器。

伪随机码生成器

M序列发生器 M序列是最常用的一种伪随机序列,是一种线性反馈移位寄存器序列的简称。带线性反馈逻辑的移位寄存器设定各级寄存器的初试状态后,在时钟的触发下,每次移位后各级寄存器状态都会发生变化。其中一级寄存器(通常为末级)的输出,随着移位寄存器时钟节拍的推移会产生下一个序列,称为移位寄存器序列。他是一种周期序列,周期与移位寄存器的级数和反馈逻辑有关。 以4级移位寄存器为例,线性反馈结构如下图: 4级以为寄存器反馈图 其中a4=a1+a0

信号a4:a0禁止出现全0,否则将会出现全0,序列不变化。实验仿真 Code: library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity random_4 is Port ( clk : in STD_LOGIC; reset : in STD_LOGIC;

din : in STD_LOGIC_VECTOR (3 downto 0); dout : out STD_LOGIC_VECTOR (3 downto 0); load : in STD_LOGIC); end random_4; architecture Behavioral of random_4 is signal rfsr :std_logic_vector(3 downto 0); --signal temp:std_logic; begin process(clk,reset,load,din) begin if (reset ='1') then rfsr <=(others =>'0'); elsif (clk' event and clk='1') then if(load ='1') then ----load =1 rfsr<= din; else rfsr(3) <= rfsr(0) xor rfsr(1); rfsr(2 downto 0) <= rfsr(3 downto 1); end if; end if; end process; ------signal rename----

伪随机码发生器设计

伪随机码发生器设计 1 引言 随着科学技术的进步,现代战争样式向信息战形式发展。现代战争胜负对于信息获取的依赖程度前所未有的提高。在现代战争中,若己方的通讯交流方式早敌军破获,则地方将获取己方部队动向或实施信息干扰。将会使部队陷入极其危险地境地中。因此,信息战对通讯加密手段的要求极高。 伪随机序列(Pseudonoise Sequence)又称伪噪声或伪随机码,具有类似随机信号的一些统计特性,但又是有规律的,容易产生和复制的。最大长度线性移位寄存器序列(m序列)是保密通信中非常重要的一种伪随机序列,它具有随机性、规律性及较好的自相关和互相关性,而且密钥量很大。利用m序列加密数字信号,使加密后的信号在携带原始信息的同时具有伪噪声的特点,以达到在信号传输的过程中隐藏信息的目的;在信号接收端,再次利用m序列加以解密,恢复出原始信号。这样,通过对m序列的应用,将大大的提高通讯的保密程度和防窃取能力。这样的通讯手段被称为扩展频谱通信 扩展频谱通信(Spread Spectrum Communication)是将待传送的信息数据被伪随机编码也就是扩频序列调制,实现频谱扩展以后再在信道中传输,接收端则采用与发送端完全相同的编码进行解调和相关处理,从而恢复出原始的信息数据。在这其中,伪随机码发生器是十分重要的一环,是对信息加密的核心器件。m序列伪随机码发生器即使通过m序列的方式对信息数据编码。 本系统所设计的伪随机码发生器,产生m序列伪随机码。系统采用AT89S51单片机作为控制芯片,控制使用LCD12864显示处理器产生的m序列伪随机码,并且可通过按键对参数修改,设置初始码及m 序列长度。单片机根据设定的初始码及m序列长度,按照约定的逻辑运算关系,循环往复的产生0或者1。 2 发生器系统设计 2.1总体设计 系统分为信息处理、实时显示和按键修改共五大模块。 系统总体结构框图如图1所示:

实验一 伪随机码发生器实验

实验一伪随机码发生器实验 电科1103 杨帆 3110104337 一、实验目的 1、掌握伪随机码的特性。 2、掌握不同周期伪随机码设计。 3、用基本元件库和74LS系列元件库设计伪随机码。 4、了解ALTERA公司大规模可编程逻辑器件EPM7128SLC84内部结构和应用。 5、学习FPGA开发软件MAXPLUSⅡ,学习开发系统软件中的各种元件库应用。 6、熟悉通信原理实验板的结构。 二、实验仪器 1、计算机一台 2、通信基础实验箱一台 3、100MHz示波器一台 三、实验原理 伪随机码是数字通信中重要信码之一,常作为数字通信中的基带信号源; 扰码;误码测试;扩频通信;保密通信等领域。伪随机码的特性包括四个方 面: 1、由n级移位寄存器产生的伪随机序列,其周期为-1; 2、信码中“0”、“1”出现次数大致相等,“1”码只比“0”码多一个; 3、在周期内共有-1游程,长度为i 的游程出现次数比长度为i+1的游程出现 次数多一倍; 例如:四级伪码产生的本原多项式为X 4 +X 3+1。 利用这个本原多项式构成的4级伪随机序列发生器产生的序列为: 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 四、实验内容及步骤 1、在MAXPLUSⅡ设计平台下进行电路设计 1.1 四级伪随机码发生器电路设计 电路原理图如图1-2所示。

在MAXPLUS II 环境下输入上述电路,其中:dff ------ 单D触发器 xor ------ 二输入异或门 nor4 ------ 四输入或非门 not ------ 反相器 clk ------ 时钟输入引脚(16M时钟输入) 8M ------ 二分频输出测试点引脚 nrz ------ 伪随机码输出引脚

扩频系统使用的伪随机码PN码

第六章 扩频系统使用的伪随机码(PN 码) 在扩展频谱系统中,常使用伪随机码来扩展频谱。伪随机码的特性,如编码类型,长度,速度等在很大程度上决定了扩频系统的性能,如抗干扰能力,多址能力,码捕获时间。 6.1 移位寄存器序列 移位寄存器序列是指由移位寄存器输出的由“1”和“0”构成的序列。相应的时间波形是指由“1”和“-1”构成的时间函数,如图6-1所示。 图6-1 (a )移位寄存器序列 (b )移位寄存器波形 移位寄存器序列的产生如图6-2 。主要由移位寄存器和反馈函数构成。移位寄存 器内容为),,,(21n x x x f 或1,反馈函数的输入端通过系数与移位寄存器的各级状态相联()(1)(0通或断=i c )输出通过反馈线作为1x 的输入。移位寄存器在时钟的作用下把反馈函数的输出存入1x ,在下一个时钟周期又把新的反馈函数的输出存入1x 而把原1x 的内容移入2x ,依次循环下去,n x 不断输出。 根据反馈函数对移位寄存器序列产生器分类: (1) 线性反馈移位寄存器序列产生器(LFSRSG ):如果),,(1n x x f 为n x x ,,1 的模2加。 (2) 非线性反馈移位寄存器序列产生器(NLFSRSG ):如果),,(1n x x f 不是n x x ,,1 的 模2加。 例1: LFSRSG :n=4,4314321),,,(x x x x x x x f ⊕⊕= (a) 图6-2 移位寄存器序列生成器

共16个不同状态,1111,0000为死态,每个状态只来自一个前置态。 例2: LFSRSG :n=4,4143214321),,,(,1,0,0,1x x x x x x f c c c c ⊕===== 设初态为:1,1,1,14321====x x x x ,则移位寄存器状态转移图如下:

实验一伪随机码发生器实验

实验一 伪随机码发生器实验 一、实验目的 1、 掌握伪随机码的特性。 2、 掌握不同周期伪随机码设计。 3、 用基本元件库和74LS系列元件库设计伪随机码。 4、 了解ALTERA公司大规模可编程逻辑器件EPM7128SLC84内部结构和应用。 5、 学习FPGA开发软件MAXPLUSⅡ,学习开发系统软件中的各种元件库应用。 6、 熟悉通信原理实验板的结构。 二、实验仪器 1、 计算机 一台 2、 通信基础实验箱 一台 3、 100MHz 示波器 一台 三、实验原理 伪随机码是数字通信中重要信码之一,常作为数字通信中的基带信号源;扰码;误码测试;扩频通信;保密通信等领域。伪随机码的特性包括四个方面: 1、 由n 级移位寄存器产生的伪随机序列,其周期为-1; n 2 2、 信码中“0”、“1” 出现次数大致相等,“1”码只比“0”码多一个; 3、 在周期内共有-1游程,长度为 i 的游程出现次数比长度为 i+1的 游程出现 次数多一倍; n 24、 具有类似白噪声的自相关函数,其自相关函数为: ()() ?? ? ?≤≤=??=2 210 12/11n n τττρ 其中n 是伪随机序列的寄存器级数。 例如:四级伪码产生的本原多项式为X 4+X 3+1。 利用这个本原多项式构成的4级伪随机序列发生器产生的序列为: 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 相应的波形图如图1-1所示:

图1-1 四级伪随机序列波形图 用4个D 触发器和一个异或门构成的伪码发生器具有以下特性: 1、 周期为24-1=15; 2、 在周期内“0”出现24 -1-1=7次,“1”出现24 -1=8次; 3、 周期内共有24 -1 =8个游程; 4、 具有双值自相关特性,其自相关系数为: ????≤≤??==2 21)12(10 14 4τ / τ ρ(τ) 四、实验内容及步骤 1、在MAXPLUSⅡ设计平台下进行电路设计 1.1 四级伪随机码发生器电路设计 电路原理图如图1-2所示。 图1-2 四级伪随机码电路原理图 在MAXPLUS II 环境下输入上述电路,其中: dff ------ 单D触发器 xor ------ 二输入异或门 nor4 ------ 四输入或非门 not ------ 反相器 clk ------ 时钟输入引脚(16M时钟输入) 8M ------ 二分频输出测试点引脚 nrz ------ 伪随机码输出引脚 1.2 实验电路编译及FPGA 引脚定义 完成原理图输入后按以下步骤进行编译: (1) 在Assign Device 菜单选择器件MAX7128SLC84。

伪随机序列matlab仿真

MATLAB实验报告 姓名:李金玮 学号:14061114 班级:14184111

实验七伪随机序列的产生与相关特性分析 一、实验目的 1、了解伪随机序列的相关知识。 2、了解m 序列的相关知识,了解其相关性质。 3、学会用matlab 实现方框图描述的系统,并由此产生m 序列。 二、相关理论知识 (1)伪随机序列 伪随机序列, 又称伪随机码, 是一种可以预先确定并可以重复地产生和复制, 又具有随机统计特性的二进制码序列。在现代工程实践中, 伪随机信号在移动通信、导航、雷达和保密通信、通信系统性能的测量等领域中有着广泛的应用。例如,在连续波雷达中可用作测距信号, 在遥控系统中可用作遥控信号, 在多址通信中可用作地址信号, 在数字通信中可用作群同步信号, 还可用作噪声源以及在保密通信中的加密作用等。伪随机发生器在测距、通信等领域的应用日益受到人们重视。 伪随机信号与随机信号的区别在于: 随机信号是不可预测的, 它在将来时刻的取值只能从统计意义上去描述;伪随机序列实质上不是随机的, 而是收发双方都知道的确定性周期信号。之所以称其为伪随机序列, 是因为它表现出白噪声采样序列的统计特性, 在不知其生成方法的侦听者看来像真的随机序列一样。m 序列作为一种基本的PN 序列,具有很强的系统性、规律性和相关性。 (2)m 序列的产生 ①线性反馈移位寄存器 m 序列发生器的系统框图如图。其中加法器为“模2 相加”运算,寄存器与反馈的每一位只有1、0 两种状态。

由于带有反馈,因此在移位脉冲作用下,移位寄存器各级的状态将不断变化,通常移位寄存器的最后一级做输出,输出序列为 输出序列是一个周期序列。其特性由移位寄存器的级数、初始状态、反馈逻辑以及时钟速率(决定着输出码元的宽度)所决定。当移位寄存器的级数及时钟一定时,输出序列就由移位寄存器的初始状态及反馈逻辑完全确定。当初始状态为全零状态时,移位寄存器输出全0序列。为了避免这种情况,需设置全0 排除电路。 三、实验任务 编写程序利用5级移位寄存器产生m序列,初始状态全1,抽头系数[1 0 0 1 1 1]。 四.我自己的实验代码 clear all close all solve=0; zk=0 a=[1,1,1,1,1]%an c=[1,1,1,0,1,1] lena=length(a) lenb=length(c) newc=fliplr(c) shuchu=zeros(1,lena) for zk=1:2^(lena+1) for k=1:lena zhong(k)=a(k)*newc(k); lenz=length(zhong) %solve=0; end%%%%得到每个被加数 for t=1:lenz solve=solve+zhong(lenz-t+1) if solve>=2 solve=0

PN(伪随机码)码发生器的设计

通信系统专业课程设计 一.课题名称:PN(伪随机码)码发生器的设计 二.设计目的: 1、巩固加深对电子线路的基本知识,提高综合运用专业知识的能力; 2、培养学生查阅参考文献,独立思考、设计、钻研专业知识相关问题的能力; 3、通过实际制作安装电子线路,学会单元电路以及整机电路的调试与分析方法; 4、掌握相关电子线路工程技术规范以及常规电子元器件的性能技术指标; 5、了解电气图国家标准以及电气制图国家标准,并利用电子CAD正确绘制电路图; 6、培养严肃认真的工作作风与科学态度,建立严谨的工程技术观念; 7、培养工程实践能力、创新能力和综合设计能力。 三.设计要求: 1、通信系统的原理框图,说明系统中各主要组成部分的功能; 2、根据选用的软件编好用于系统仿真的测试文件; 3、拟采用的实验芯片的型号可选89c51、TSC 5402、5416、2407及ALTERA的EPM7128 CPLD或EP1K30进行硬件验证; 4、独立完成课程设计报告,严禁报告内容雷同; 5、电路图中的图形符号必须符合国家或国际标准。 四.所用仪器设备: Altera的MAX 7000S系列芯片;方正文祥电脑。 五.设计内容: 1、伪随机序列产生原理及作用: 随着通信理论的发展,早在20世纪40年代,香农就曾指出,在某些情况下,为了实现最有效的通信,应采用具有白噪声的统计特性的信号。另外,为了实现高可靠的保密通信,也希望利用随机噪声。然而,利用随机噪声最大困难是它难以重复产生和处理。直到60年代,伪随机噪声的出现才使这一难题得到解决。

伪随机噪声具有类似于随机噪声的一些统计特性,同时又便于重复产生和处理。由于它具有随机噪声的优点,又避免了它的缺点,因此获得了日益广泛的应用。目前广泛应用的伪随机序列都是由数字电路产生的周期序列得到的,我们称这种周期序列为伪随机序列。 对与伪随机序列有如下几点要求: ①应具有良好的伪随机性,即应具有和随机序列类似的随机性; ②应具有良好的自相关、互相关和部分相关特性,即要求自相关峰值尖锐,而互相关和部分相关值接近于零。这是为了接收端准确检测,以减少差错; ③要求随机序列的数目以保证在码分多址的通信系统中,有足够的地址提供给不同的用户; ④要求设备简单,易实现,成本低。 通常产生伪随机序列的电路为一反馈移存器。它又分为线形反馈移存器和非线形反馈移存器两类。由线形反馈移存器产生出的周期最长的二进制数字序列称为最大长度线形反馈移存器,通常称为m序列。由于它的理论比较成熟,实现比较简便,实际应用也比较广泛,故在这里以m序列发生器为例,设计伪随机序列发生器。 m序列是由带线形反馈的移存器产生的周期最长的一种序列。线形反馈移位寄存器由时钟控制若干个串接的存储器所组成。在时钟信号的控制下,寄存器的存储信号由上一级向下一级传递。如果让某些寄存器的输出反馈回来进行运算,运算结果作为第一级寄存器的输入,则我们可以得到一个移位寄存器序列。如果移位寄存器的反馈函数和初始状态不同,那么可得到不同的移位寄存器序列。线性移位寄存器的一般形式如图1所示,总共有1,2,3,…,N个寄存器,他们的状态为X i (i=1,2,3,…,n), 经C i (I=1,2,3,…,n)相乘后模2加,再反馈。这里C i ∈(0,1),且乘法规则是 0·0=0·1=1·0=0,1·1=1。实际上C i =0表示断开,C i =1表示连接。因此这个N阶移 位寄存器的反馈函数为: F(X1,X2,…,X n)=∑i n=1C i X i 特征多项式是: f(x)= ∑ i n =1 C i X i=C +C 1 X1+C 2 X2+…+C n X n 特征多项式中的X i (i=0,1,2...n)与移位寄存器的第i个触发器相对应。

伪随机码发生器电路设计(文档参考模板)

《通信系统基础实验》课程设计性实验报告 设计课题:频率合成器电路设计 专业班级:通信工程 (2)班 学生姓名:周启龙 学号:08250205 指导教师:陈昊

目录 一、设计实验目的 (1) 二、设计指标 (1) 三、伪随机序列发生器设计思路 (1) 四、单元电路设计原理分析: (2) 1.降压模块: (2) 2.整流及斩波模块: (2) 3.恒流电路原理 (2) 五、整体电路图设计与仿真 (3) 1.整体电路图设计说明 (3) 2.整体电路仿真 (4) 六、硬件制作与测试 (4) 附件1:硬件电路实物图及实验结果 (5) 附录2:相关芯片引脚图 (6) 附件3:元器件清单: (7)

前言 频率源是现代通信系统的心脏,其稳定与否直接影响到系统的正常工作。现代通信系统对于稳定的频率源的需求也越来越广泛,而频率稳定度问题则已成为许多现代通信系统和设备的一个关键性技术问题。如今锁相技术以其独特和优良的性能在调制解调、频率合成、FM 立体声解码等方面普遍应用。锁相环路具有载波跟踪特性,作为一个窄带跟踪滤波器,可以提取淹没在噪声之中的信号;用高稳定的参考振荡器锁定,可以提供一系列频率高稳定的频率源。本文主要讨论了基于锁相环的宽带调频电路的设计问题,主要介绍CD4046频率合成器应用电路. 关键词:锁相环、频率合成器、鉴相器、调频 一、设计实验目的 1.掌握伪随机码的概念。 2.实现简单m序列发生器电路的设计。 二、设计指标 1.XXXXXXXX 2.XXXXXXX 三、伪随机序列发生器设计思路 频率合成器有直接式频率合成器、直接数字式频率合成器及锁相频率合成器三种基本模式,前两种属于开环系统,因此是有频率转换时间短,分辨率较高等优点,而锁相频率合成器是一种闭环系统,其频率转换时间和分辨率均不如前两种好,但其结构简单,成本低。并且输出频率的准确度不逊色与前两种,

伪随机码

课程设计任务书 学生姓名:周成浩专业班级:电信1404 指导教师:苏杨工作单位:信息工程学院 题目:伪随机序列的产生及应用设计 初始条件: 具备通信课程的理论知识;具备模拟与数字电路基本电路的设计能力;掌握通信电路的设计知识,掌握通信电路的基本调试方法;自选相关电子器件;可以使用实验室仪器调试。 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、设计伪随机码电路:产生八位伪随机序列(如M序列、Gold序 列等); 2、了解D/A的工作原理及使用方法,将伪随机序列输入D/A中(如 DAC0808),观察其模拟信号的特性; 3、分析信号源的特点,使用EWB软件进行仿真; 4、安装和调试整个电路,并测试出结果; 5、进行系统仿真,调试并完成符合要求的课程设计书。 时间安排: 一周,其中3天硬件设计,2天硬件调试 指导教师签名:年月 系主任(或责任教师)签名:年月日

摘要 伪随机序列具有良好的随机性和接近于白噪声的相关函数,使其易于从信号或干扰中分离出来。伪随机序列的可确定性和可重复性,使其易于实现相关接收或匹配接收,因此有良好的抗干扰性能。伪随机序列的这些特性使得它在伪码测距、导航、遥控遥测、扩频通信、多址通信、分离多径、数据加扰、信号同步、误码测试、线性系统、各种噪声源等方面得到了广泛的应用。此次课设根据m序列、M序列的产生原理,利用1片74LS164或2片74LSl94级联加少量分立元件,采用手动置数和自启动2种方法设计了3种长度为255位的m序列发生器和256位M序列发生器。 关键词:伪随机码;m序列;M序列;移位寄存器;D/A转换

伪随机序列

1.伪随机码在扩频系统中,起扩频的作用。主要是因为这类码序列具有类似于随机信号的特性,即具有近似白噪声的性能。 2.选用随机信号传输信息的理由:在信息传输中各种信号之间的差异性越大越好,这样任意两个信号不容易混淆,即相互间不容易发生干扰,不会发生误判。 3.理想的传输信息的信号形式应是类似于白噪声的随机信号,因为取任何时间上的不同的两端噪声来比较都不会完全相似,若能用它们代表两种信号,其差别性就最大。 4.为实现选址通信,信号之间必须是正交或准正交的(互相关性为零或很少)。 5.伪码不但是一种能预先确定的、有周期性的二进制序列,而且又具有接近于二进制数随机序列的自相关特性。 一、伪随机序列的特性 1.相关性概念: ()τ自相关:很容易的判断接收到的信号与本地产生的相同信号复制品之间的波形与相位是否完全一致。相位完全对准时有输出,没有对准时输出为零。互相关:在码分多址中尤为重要,在码分多址中,不同的用户应选用互相关性小的信号作为地址吗,如果两个信号是完全随机的,在任意延迟时间都不相同,则互相关性为0则称为正交,如果有一定的相似性,则互相关性不为0.两个信号的互相关性越少越好,则他们越容易被区分,且相关之间的相关性???????????干扰也小。 2.码序列的自相关性: 序列的自相关函数用于衡量一个序列与它的j 次移位序列之间的相关程度。 常用自相关系数来表示相关性,自相关系数为相关函数的均一化。 二进制序列自相关系数为: ();A D =a i i j A D j P ρ+-=式中为a 与a 对应码元相同的个数;为不同的个数。P A+D. 3.码序列的互相关性: 序列的互相关函数用于衡量两个不同序列之间的相关程度。

相关文档