文档库 最新最全的文档下载
当前位置:文档库 › Allegro原理图设计原版教程

Allegro原理图设计原版教程

Allegro原理图设计原版教程
Allegro原理图设计原版教程

Allegro? Design Entry HDL User Guide

Product Version 16.6 October 2012

2

Getting Started

This chapter contains the following information:

Starting Design Entry HDL

Design Entry HDL User Interface

Design Entry HDL Tasks

Design Entry HDL Basics

Starting Design Entry HDL

After you open the desired design project in Project Manager, the flow area of Project Manager displays the Cadence Board Design flow. In the Board Design flow, click the Design Entry icon.

Note: You must be on the Common Desktop Environment (CDE) on a Sun workstation to run the Design Entry HDL set of tools.

To launch Design Entry HDL, do one of the following:

1. In Project Manager, open the .cpm file of a project and then click the Design Entry icon.

--or--

2. Choose Start - Programs - Cadence - Release 16.6 - Design Entry HDL.

--or--

3. At the command prompt, type concepthdl and press ENTER.

Design Entry HDL User Interface

When you launch Design Entry HDL using any of the three methods listed above, the Design Entry HDL user interface appears (as shown in the following figure).

The Design Entry HDL interface consists of the following elements: Design window

Menu bar

Toolbars

Status bar

Global Navigation window

Console command window

Context-sensitive menus

Menu Bar

The Design Entry HDL menu bar includes the following menus:

File

For operations such as opening, saving, and plotting a drawing.

Edit

For operations such as Undo, Copy, Paste, Delete, Spin, and Color.

View

For operations such as Zoom, Pan, and Grid.

Component

For operations that can be done on a part such as adding, replacing, and modifying a part.

Wire

For operations such as connecting parts and naming signals.

Text

For operations such as adding properties and notes.

Block

For operations such as adding blocks.

Group

For operations such as creating groups and performing editing functions on groups.

Display

For operations such as highlighting and de-highlighting components.

AMS Simulator

For performing analog, digital and mixed-signal simulation using the AMS Simulator. This menu is visible only if you have installed AMS Simulator.

RF-PCB

Invokes the RF-PCB IFF Import UI which enables you to import radio frequency design into your schematic.

Tools

For operations such as setting up defaults, customizing, updating the schematic with layout changes, updating the layout with schematic changes, finding nets and instances in your design, global navigation, checking your design, and running scripts.

Window

For operations such as opening a new window, cascading and tiling it.

Help

Invokes the Design Entry HDL help page and web resources such as Cadence Online

Support and Education Services.

In the Windows Mode, menu bar and menu options are different from

the normal more. See Reorganized Menus for more information.

Toolbars

Design Entry HDL has the following toolbars:

Standard

Navigate

Tools

Block

Add

Edit

Color

Markers

Group

QuickPick

Selection Filters

Object Visibility Layers

Search Toolbar

If you have installed AMS Simulator A/D, the following six additional toolbars are available. For more information on these toolbars, see the Allegro AMS Simulator User's Guide.

Analog

Passive

Source

Linear

Discrete

Misc

Standard Toolbar

The Standard toolbar has the standard functions that operate on a drawing (Open, Save, Save All, Print, Undo, Redo, Check, Expand, Add New Page, and Import sheets).

Navigate Toolbar

The Navigate toolbar includes the functions for navigating the drawing (Descend, Ascend, Previous drawing, Next drawing, Previous page, Next page, Zoom Points, Zoom Fit, Zoom In, and Zoom Out).

Tools Toolbar

The Tools toolbar includes the functions to perform actions such as displaying the Attributes form, Highlighting, Dehighlighting, Showing/Hiding unconnected pins, Hiding/Displaying Hierarchy Viewer, and launching Constraint Manager and Part Manager.

Block Toolbar

The Block toolbar lets you add blocks, add pins on blocks and draw wires to connect blocks. Add Toolbar

The Add toolbar lets you add objects (components, wires, and text) and graphics such as dots and circles.

Edit Toolbar

The Edit toolbar lets you perform edit operations such as copy, paste, delete, and spin. Group Toolbar

The Group toolbar has all the commands for creating and modifying a group. A group is a collections of objects such as notes, components, wires, and properties.

Markers Toolbar

The Markers toolbar helps you traverse through schematic errors.

Color Toolbar

The Color palette lists the colors supported in Design Entry HDL and allows you to quickly change the colors of various objects.

QuickPick Toolbar

The QuickPick toolbar helps you quickly add commonly-used cells, parts, and local blocks to the design.

Object Visibility Layers Toolbar

The object visibility toolbar enables you to control the visibility of each object layer. The visibility of each of the object layers can be controlled by pressing or de-pressing the toolbar buttons.

Page Search Tool Bar

The search toolbar enables you to search for text on the current page. The text could be a symbol text, net name, property or part of a note.

Status Bar

The status bar displays a single line about the action you are performing or when Design Entry HDL expects you to perform an action.

Console Command Window

You can type commands in this window. The window can also be used to manually test any scripts that you have written for Design Entry HDL. To enable or disable the console command window, choose View - Console Window.

Context-Sensitive Menus

Every object in Design Entry HDL has a context-sensitive menu attached to it. The menu appears when you right-click on the object. The menu contains options to perform certain operations that are relevant to the current object and its context. Examples of operations on a symbol are copy, delete, edit, and rotate.

Design Entry HDL Tasks

The Design Entry HDL tasks covered in this section are

Creating a Schematic

Creating a Hierarchical Design

Creating a Schematic

The following figure illustrates the sequence of tasks you perform in Design Entry HDL to create a schematic.

Tasks of Creating a Schematic

Creating a Hierarchical Design

The following figure illustrates the sequence of tasks you perform to create a hierarchical design.

Tasks in Creating a Hierarchical Design

Design Entry HDL Basics

This section answers the basic questions that are useful when you start working in Design Entry HDL.

Where can I enter commands?

You can type commands in the console window that appears below the drawing area when you choose View - Console Window. If you exit Design Entry HDL with the console window option enabled, the console window will appear automatically the next time you start Design Entry HDL.

Command Conventions and Entering Commands

Each menu item has an associated Design Entry HDL command. To run a command: Choose a command from a menu.

Type a command in the console window, which appears below the drawing area when you choose View - Console Window.

Click a toolbar icon.

Press the control keys, which are noted next to the frequently used menu commands.

Draw a stroke pattern.

Write commands in a script file and run the script.

You can abbreviate Design Entry HDL commands. Design Entry HDL recognizes the smallest unique portion of the command name and arguments. Design Entry HDL commands are not case-sensitive.

Where are setup options?

Global setup options are located in the Project Manager. Y ou can access Design Entry HDL setup options both through the Project Manager and through the Tools menu in Design Entry HDL (Tools - Options).

How do I pan drawings?

You can pan a drawing using the mouse, scroll bars, the keyboard, or the View menu.

How do I zoom in and out of a drawing?

To zoom into a drawing

Choose View - Zoom In.

Choose View - Zoom Scale and enter a scale factor such as 2.

Choose View - Zoom by Points and stretch a rectangle around the area you want to zoom into:

a. Click slightly above and to the left or right of the objects you want to group.

b. Drag the cursor down diagonally from where you first clicked.

c. Click again.

To zoom out of a drawing

Choose View - Zoom Out or View - Zoom Scale and enter a scale factor such as 0.5.

To fit a drawing in the screen

Choose View - Zoom Fit.

How do I customize Design Entry HDL?

You can customize toolbars, commands, menus, and keys in Design Entry HDL using Tools - Customize.

What commands can I use to edit schematic text?

You can use the following keyboard commands when running the change command (Text - Change):

Are there menu shortcuts?

Toolbars provide shortcuts to several functions. Y ou can turn on any or all of the toolbars with the View - Toolbars menu command.

Control keys also provide shortcuts to several menu commands. Control-key shortcuts are noted next to the frequently-used menu commands.

Press predefined function keys (F1-F12).

Standard Windows Alt key functions are also available.

How do I browse drawings and components?

The capability to add and edit components used to be contained in a single browser. These are now separate functions.

Choose File - Open to display a file browser from which you select the drawing you want to edit.

Choose Component - Add to display the Component Browser from which you can select components to add to your drawing.

How do I add libraries?

You add libraries using Tools - Setup in Project Manager. Within Design Entry HDL, you can control the available library list and the search order for libraries using File - View Search Stack. How do I add notes?

You can add notes and attach them to the schematic using Text - Note.

How do I add parts?

You can add parts using Component - Add.

How do I connect parts?

You can connect parts with wires using Wire - Draw or Wire - Route. Wire - Draw lets you

manually route around objects while Wire - Route automatically routes the wire around objects. Alternatively, right-click the component where you want to add the wire, and choose Add Wire from the pop up menu.

How do I name signals?

You can name signals using Wire - Signal Name. Y ou can also create buses by naming signals in the appropriate manner. If you name a wire as DATA<15..0>, Design Entry HDL converts the wire to a 16-bit bus.

How do I add properties?

You can add properties on parts, pins, and signals using Text - Property. Y ou can view, add, and modify the visibility of properties using Text - Attributes.

How do I add ports?

You can use the ports available in the Standard Library using Component - Add.

How do I check my drawing for errors?

You control settings for error checks in the Design Entry HDL Setup options accessed through the Tools menu in Design Entry HDL.

The Tools - Check menu choice or the Check icon in the Standard toolbar lets you run a check.

You can view error messages and locate them in your design using the Markers control window (Tools - Markers). This window also lets you view long, detailed error messages that correspond with the short error messages that are typically displayed.

Error Status Bar

Using the status bar in combination with the Markers toolbar, you can view short error messages without the Markers control window. Tools - Error controls to navigate the markers file.

How do I save a design?

You can save a design using File - Save.

What is Page Locking?

When a user who has write permissions is editing a page in a design, Design Entry HDL locks the page. If a second user opens the same page for editing, Design Entry HDL displays a message that the page is locked by the first user and that the second user cannot save any changes made in the page.

If the root design schematic page is a read-only page, or locked by another user, then the "save" option is completely disabled. Y ou cannot make any incontext or schematic changes to the page. If the root schematic context property data file (dcf) is Read Only, then the context save is skipped. Y ou are not able to change the attributes in-context in DEHDL. However, if a lower level schematic page is read only or locked by another user, then you can only make context changes. Y ou will not be able to edit the schematic page. On saving the page, the dcf file is saved with the context changes.

Design Entry HDL creates a lock file called pagen_csb.lck in the schematic view when you open a schematic page.

How do I add additional pages?

Design Entry HDL supports multiple page schematics. Choose File - Edit Page/Symbol - Add New Page to add a new page to the schematic.

How do I go to a specific page in a design?

1. Choose File - Edit Page/Symbol - Go To.

The Go To Page/Symbol dialog box appears.

2. Enter the page number and click OK.

To go to a specific page in a hierarchical design, select the Calculate page number in hierarchy check box, enter the page number and click OK.

Note: If you do not select the Calculate page number in hierarchy check box, you can only go to a page within the cell in which the currently open schematic page exists. For example, if the currently open schematic page is LAPTOP.SCH.1.1, you can only go to pages within the LAPTOP cell.

Note: You can also use the gotosheet console command to go to a specific page in a hierarchical design. When the "Calculate sheet number in hierarchy" option is selected, you are navigated to the sheet number, when this option is not selected, the specified page number is edited in the current cell. In this case, the sheet number used by the gotosheet command is the sequential numbering of pages in the entire design hierarchy, while the page number used by the edit command is the physical page number in the current block.

For more information on page numbering in Design Entry HDL, see Displaying and W orking with Schematic Page Numbers .

How do I plot a design?

You can plot a design using File - Plot. On UNIX, you have the option of using the HPF plotting utility also depending on the option you select (Windows plotting or HPF) using Tools - Options - Plotting.

What are groups?

When you wish to perform a common edit operation like Copy, Move, or Delete on a collection of objects on the schematic, you can define the collection as a group and carry out the operation using the options available in the Group menu.

What is different about working with groups?

Functions for creating and working with groups are contained in one group menu.

A separate toolbar contains the frequently-used group operations.

Design Entry HDL makes it easy to set the current group. It clearly shows the group that you are working with at any time by indicating the group name in brackets next to group menu items.

Design Entry HDL provides a Group Contents dialog box using which you can see the contents of the groups defined in the schematic.

How do I locate parts and wires in a design?

You can locate parts and wires in a design using Tools - Global Find. Y ou can also use wildcards on names and narrow down the search using properties and values.

How do I generate a symbol view from a schematic?

You can generate symbol views from schematics using Tools - Generate View.

How do I package my design?

You can invoke Packager-XL using the Design Synchronization tool of the Project Manager.

Y ou can also use File - Export Physical in Design Entry HDL. For more information on packaging, see Design Synchronization and Packaging User Guide.

How do I backannotate a design?

Backannotation updates the schematic with the layout changes. It annotates your schematic with physical information such as pin numbers and location designators produced by the Design Synchronization process. Choose Tools - Back Annotate to specify the file (typically pstback.dat) containing the physical information with which you update the schematic.

Do not run backannotation if any other user who has write

permissions is working on the design. Running backannotation when

another user is working on the design results in incomplete

backannotation.

How do I highlight objects in a design?

To highlight an object in a drawing, choose Display - Highlight and click on the object to be highlighted.

You might want to highlight objects in your design for the following reasons: To trace a signal on multiple pages of an expanded drawing

To trace a signal in the drawing hierarchy between expanded drawings

To correlate the circuit logic to changes you made in the schematic or to navigate the nets between a physical layout and the corresponding schematic between Design Entry HDL and other system tools.

Choose Display - Dehighlight to remove highlighting.

How do I cross-reference a design?

When you view a plot of a schematic, it is often difficult to trace a signal or instances of a part. The Cross Referencer tool traces the signals and parts in a schematic and annotates the location of each one.

On a cross-referenced design, Cross Referencer writes the page number and the location of the part or signal in relation to the page border. These annotations can be found beside each signal

and part that has been cross-referenced.

Choose Tools - CRefer in Project Manager to cross-reference your design.

How do I archive a design?

You can use the Archiver tool to archive your design. This tool copies over all the libraries that are referenced by your design to the archived area. Archiving lets you work on the design at a location where connectivity to the Libraries server is not available.

To archive your design, choose Tools - New Archive in Project Manager.

How do I view the bias point values in Design Entry HDL?

You can enable the bias display feature of Design Entry HDL to view the bias point information, such as bias point voltage, bias point current, and bias power on the schematic. To view bias point values on the schematic you need to perform the following steps:

1. Load bias point values.

From the AMS Simulator menu choose Bias Point - Preferences. In the Bias Point Preferences dialog box, select the Update Bias Point Information Automatically check box and click OK.

2. Choose AMS Simulator - Bias Points - Enable.

Menu options for displaying Bias point voltage, bias point current, and bias power are enabled.

3. Specify the bias point information to be displayed on the schematic.

To display bias point voltages on the schematic, choose AMS Simulator - Bias

Points> Enable Bias V oltage Display.

To display bias currents on the schematic, choose AMS Simulator - Bias Points -

Enable Bias Current Display.

To display bias power values, choose AMS Simulator - Bias Points - Enable Bias

Power Display.

Note: If you do not want the bias point values to be loaded automatically, skip 1. Instead, select AMS Simulator - Bias Points - Annotate Bias V alues whenever you want to load the latest bias point information on to the schematic.

To know more about the bias display feature in Design Entry HDL, see Chapter 15, "Simulating using AMS Simulator".

Return to top of page

For support, see Cadence Online Support service.

Copyright ? 2012, Cadence Design Systems, Inc.

All rights reserved.

Allegro16.5教程 实用学习笔记

目录 一、常用操作 (3) 1、Extents选项无法改小 (3) 2、没有自己的Pad (3) 3、命令放入焊盘 (3) 4、命令坐标、增量 (4) 5、表贴元件几个Class、Subclass (4) 6、Create Symbol (4) 7、倒角 (4) 8、设置Keepin (4) 9、设置圆滑连线 (5) 10 z-copy命令 (5) 11 放置元件到Bottm (5) 12 设置带端接的等长line (6) 13 设置差分对 (6) 14 群组走线 (6) 15 区域特殊规则设置 (7) 16 Application Mode切换方便布件走线 (8) 17 对齐摆放元件 (9) 18 光绘层信息 (9) 19 Gerber 钻孔 (10) 20 导出坐标信息 (13) 21 Dimension信息 (13) 二笔记 (15) 2.1导线自感估算 (15) 2.2 PCB板基本外框 (15) 三常见错误解决办法 (16)

3.1 No product licenses found... .. (16)

一、常用操作 1、Extents选项无法改小 Extents选项无法改小时,逐步改小, 如500,400,300.100.50.10.6…可修改 成功。 2、没有自己的Pad 自己画的Pad文件目录没有被识别,放到 原Pad同一目录。 3、命令放入焊 盘 x 0 0回车 格式:x空格0 空格 0 空格

4、命令坐标、增量 x 0 0 表示坐标(0,0) ix 1.8 表示坐标x方向增量1.8 iy 2 表示坐标y方向增量2。 可用来制定坐标放置元件、制定坐标或增量画线。 5、表贴元件几个Class、Subclass Stack-Up: Top、Soldermask_Top、Pastemask_Top Package Geometry: Assembly_Top、Place_Bound_Top、Silkscreen_Top。6、Create Symbol Create Symbol 才可以保存成.ssm 文件。Ssm文件加载到Pad Designer制作焊盘。制作成ssm后Pad Designer中没有该焊盘需设置Setup User Preferences Editor Paths Library padpath 双击添加ssm文件路径。 7、倒角 Manufacture 》Drafting 》Fillet 弧角,Chamfer 45度角。依次单击要倒角的两个临边。使PCB边框直角变为弧角或45度角。防止划伤其他物品。 8、设置Keepin Setup 》Area 》Keepin

OrCAD Capture CIS Cadence原理图绘制

OrCADCaptureCIS(Cadence原理图绘制) 1,打开软件........................................ 2,设置标题栏..................................... 3,创建工程文件................................... 4,设置颜色........................................ 2.制作原理库.......................................... 1,创建元件库...................................... 2,修改元件库位置,新建原理图封库................. 3,原理封装库的操作............................... 3.绘制原理图.......................................... 1.加入元件库,放置元件............................ 2.原理图的操作.................................... 3.browse命令的使用技巧 ........................... 4.元件的替换与更新................................ 4.导出网表............................................ 1.原理图器件序号修改.............................. 2.原理图规则检查.................................. 3.显示DRC错误信息................................ 4.创建网表........................................ 5.生成元件清单(.BOM)..................................

Cadence系统环境与基本操作

Cadence 系统环境与基本操作 1. 实验目的 熟悉Cadence 系统环境 了解CIW 窗口的功能 掌握基本操作方法 2. 实验原理 系统启动 Cadence 系统包含有许多工具(或模块),不同工具在启动时所需的License不同,故而启动方法各异。一般情况下涉及到的启动方式主要有以下几种,本实验系统可用的有icms、icfb等。 ①前端启动命令: icms s 前端模拟、混合、微波设计 icca xl 前端设计加布局规划 ②版图工具启动命令 Layout s 基本版图设计(具有交互DRC 功能) layoutPlus m 版图设计(具有自动化设计工具和交互验证功能) ③系统级启动命令 icfb 前端到后端大多数工具 CIW 窗口 Cadence 系统启动后,自动弹出“what’s New…”窗口和命令解释窗口CIW (Command Interpreter Window)。在“what’s New…”窗口中,可以看到本实验 系统采用的5.0.33 版本相对以前版本的一些优点和改进,选择File→close 关闭此 窗口。CIW 窗口如图1.1 所示。 图1.1 CIW 窗口 CIW 窗口按功能可分为主菜单、信息窗口以及命令行。窗口顶部为主菜单,底部为命令行,中间部分为信息窗口。Cadence 系统运行过程中,在信息窗口会给出一些系统信息(如出错信息,程序运行情况等),故而CIW 窗口具有实时监控功能。在命令行中通过输入由SKILL 语言编写的某些特定命令,可用于辅助设计。主菜单栏有File、Tool、Options、Technology File 等选项(不同模块下内容不同),以下

Cadence原理图绘制流程

第一章设计流程 传统的硬件系统设计流程如图1-1所示,由于系统速率较低,整个系统基本工作在集中参数模型下,因此各个设计阶段之间的影响很小。设计人员只需要了解本阶段的基本知识及设计方法即可。但是随着工艺水平的不断提高,系统速率快速的提升,系统的实际行为和理想模型之间的差距越来越大,各设计阶段之间的影响也越来越显著。为了保证设计的正确性,设计流程也因此有所变动,如图1-2所示,主要体现在增加了系统的前仿真和后仿真。通过两次仿真的结果来预测系统在分布参数的情况下是否能够工作正常,减少失败的可能性。 细化并调整以上原理图设计阶段的流 程,并结合我们的实际情况,原理图设计 阶段应该包括如下几个过程: 1、 阅读相关资料和器件手册 在这个阶段应该阅读的资料包括,系统的详细设计、数据流分析、各器件手册、器件成本等。 2、 选择器件并开始建库 在这个阶段应该基本完成从主器件到各种辅助器件的选择工作,并根据选择结果申请建库。 3、 确认器件资料并完成详细设计框图 为保证器件的选择符合系统的要求,在这一阶段需要完成各部分电路具体连接方式的设计框图,同时再次确认器件的相关参数符合系统的要求,并能够和其他器件正确配合。 4、 编写相关文档 这些文档可以包括:器件选择原因、可替换器件列表、器件间的连接框图、相关设计的来源(参考设计、曾验证过的设计等),参数选择说明,高速连接线及其它信息说明。 5、 完成EPLD 内部逻辑设计,并充分考虑可扩展性。

在编写相关文档的的同时需要完成EPLD内部逻辑的设计,确定器件容量及连接方式可行。 6、使用Concept-HDL绘制原理图 7、检查原理图及相关文档确保其一致性。 以上流程中并未包括前仿真的相关内容,在设计中可以根据实际情况,有选择的对部分重要连线作相关仿真,也可以根据I/O的阻抗,上升下降沿变化规律等信息简单分析判断。此流程中的各部分具体要求、注意事项、相关经验和技巧有待进一步完善。

(完整版)Cadenceallegro菜单解释.doc

Cadence allegro菜单解释——file 已有320 次阅读2009-8-16 19:17 | 个人分类: | 关键词 :Cadence allegro file 菜单解释 每一款软件几乎都有File 菜单,接下来详细解释一下allegro 与其他软件不同的菜单。 new 新建 PCB文件,点 new 菜单进入对话框后, drawing type 里面包含有 9 个选项, 一般我们如果设计 PCB就选择默认第一个 board 即可。 如果我们要建封装库选 package symbol即可,其他 7 个选项一般很少用,大家可 以理解字面意思就可以知道什么意思了。 open 打开你所要设计的PCB文件,或者封装库文件。 recent designs 打开你所设计的PCB文件,一般是指近期所设计的或者打开过的PCB文件。 save 保存 save as 另存为,重命名。 import import 菜单包含许多项,下面详细解释一下我们经常用到的命令。 logic 导入网表,详细介绍在 allegro 基础教程连载已经有介绍,在此不再详细介 绍。 artwork 导入从其他 PCB文件导出的 .art 的文件。一般很少用词命令。 命令 IPF和 stream 很少用,略。 DXF导入结构要素图或者其他DXF的文件。 导入方法如下: 点import/DXF 后,在弹出的对话框选择,在DXF file里选择你要导入的DXF的路径, DXF units选择 MM ,然后勾选 use default text table 和 incremental addition ,其他默认即可。再点 edit/view layers 弹出对话框,勾选 select all,DXF layer filter 选择 all,即为导入所有层的信息,然后在下面的 class里选择 board geometry,subclass选择 assembly_notes,因为一般导入结构要素图都是导入这一层,然后 点ok,进入了点 import/DXF 后弹出的对话框,然后点 import 即可将结构要素图导入。 IDF IFF Router PCAD这四个命令也很少用,略。 PADS一般建库的时候导入焊盘。 sub-drawing 命令功能非常强大,也是我们在 PCB设计中经常用的命令,如果能 够非常合理的应用 sub-drawing 命令会提高我们设计 PCB的效率。

Allegro_PCB_Editor使用流程7章32页

本文档主要介绍Cadence的PCB设计软件Allegro PCB Editor的基本使用方法,其中封装库的建立不再赘述,参见“Cadence软件库操作管理文档”。 目录 一、创建电路板 (2) 1、新建电路板文件 (2) 2、设置页面尺寸 (2) 3、绘制电路板外框outline (3) 4、电路板倒角 (4) 5、添加装配孔 (5) 6、添加布局/布线允许区域(可选) (7) 二、网表导入和板层设置 (7) 1、网表导入 (7) 2、板层设置 (8) 三、布局 (9) 1、手动布局 (9) 2、布局时对元器件的基本操作 (10) 3、快速布局 (11) 4、按ROOM方式布局 (12) 5、布局复用 (15) 四、设置约束规则 (17) 1、设置走线宽度 (17) 2、设置过孔类型 (18) 3、间距规则设置 (19) 五、布线 (20) 1、设置走线格点 (20) 2、添加连接线 (20) 3、添加过孔 (21) 4、优化走线 (21) 5、删除走线 (21) 六、敷铜 (22) 1、设置敷铜参数 (22) 2、敷铜 (23) 七、PCB后处理 (25) 1、检查电路板 (25) 2、调整丝印文本 (27) 3、导出钻孔文件 (28) 4、导出光绘文件 (29)

一、创建电路板 1、新建电路板文件 原理图成功导出网表进行PCB设计之前,首先需要根据实际情况建立电路板文件(.brd),主要是设置PCB板的外框尺寸(软件中称为outline)、安装孔等基本信息。 启动Allegro PCB Editor软件: 选择“File-New”,在新建对话框中设置电路板存放路径,名称等信息: 点击“OK” 2、设置页面尺寸 这里的页面尺寸并不是电路板的实际尺寸,而是软件界面的允许范围,根据实际电路板的大小设置合理的页面尺寸。 选择“Setup-Design Parameters”

Cadence 原理图库设计

Cadence原理图库设计 一.工具及库文件目录结构 Cadence提供Part Developer库开发工具供大家建原理图库使用。 Cadence 的元件库必具备如下文件目录结构为: Library----------cell----------view(包括Sym_1,Entity,Chips,Part-table) Sym_1:存放元件符号 Entity:存放元件端口的高层语言描述 Chips:存放元件的物理封装说明和属性 Part-table:存放元件的附加属性,用于构造企业特定部件 我们可以通过定义或修改上述几个文件的内容来创建和修改一个元件库,但通过以下几个步骤来创建元件库则更直观可靠一些。 二.定义逻辑管脚 在打开或新建的Project Manager中,如图示,打开Part Developer。 然后出现如下画面, 点击Create New,下图新菜单中提示大家选择库路径,新建库元件名称及器件类型。

点击ok后,Part Developer首先让大家输入元件的逻辑管脚。一个原理图符号可以有标量管脚和矢量管脚。 标量管脚在符号中有确定位置,便于检查信号与管脚的对应,但矢量管脚却可使原理图更简洁,适用于多位 总线管脚。 点击上图中的Edit,编辑器会让我们对首或尾带有数字的字符串的多种输入方式(A1; 1A; 1A1)进行选择,一但选定,编辑器即可对同时具有数字和字母的管脚输入进行矢量或标量界定。 管脚名首尾均不带数字的字符串如A; A1A则自动被识别为标量管脚。 按照元件手册决定管脚名称及逻辑方向,选择是否为低电平有效,点击ADD即可加入新的管脚。 (注:不论是标量或矢量管脚,均可采用集体输入,如在Pin Names栏可输入A1-A8, 1C-16C)

Allegro操作说明(中文) Word 文档

26、非电气引脚零件的制作 1、建圆形钻孔: (1)、parameter:没有电器属性(non-plated) (2)、layer:只需要设置顶层和底层的regular pad,中间层以及阻焊层和加焊层都是null。 注意:regular pad要比drill hole大一点 27、Allegro建立电路板板框 步骤: 1、设置绘图区参数,包括单位,大小。 2、定义outline区域 3、定义route keepin区域(可使用Z-copy操作) 4、定义package keepin区域 5、添加定位孔 28、Allegro定义层叠结构 对于最简单的四层板,只需要添加电源层和底层,步骤如下: 1、Setup –> cross-section 2、添加层,电源层和地层都要设置为plane,同时还要在电气层之间加入电介质,一般为FR-4 3、指定电源层和地层都为负片(negtive) 4、设置完成可以再Visibility看到多出了两层:GND和POWER 5、铺铜(可以放到布局后再做)

6、z-copy –> find面板选shape(因为铺铜是shape)–> option面板的copy to class/subclass选择ETCH/GND(注意选择create dynamic shape)完成GND层覆铜 7、相同的方法完成POWER层覆铜 Allegro生成网表 1、重新生成索引编号:tools –> annotate 2、DRC检查:tools –> Design Rules Check,查看session log。 3、生成网表:tools –> create netlist,产生的网表会保存到allegro文件夹,可以看一下session log内容。 29、Allegro导入网表 1、file –> import –> logic –> design entry CIS(这里有一些选项可以设置导入网表对当前设计的影响) 2、选择网表路径,在allegro文件夹。 3、点击Import Cadence导入网表。 4、导入网表后可以再place –> manully –> placement list选components by refdes查看导入的元件。 5、设置栅格点,所有的非电气层用一套,所有的电气层用一套。注意手 动放置元件采用的是非电气栅格点。 6、设置drawing option,status选项会显示出没有摆放元件的数量,没有布线的网络数量

于博士Cadence视频教程原理图设计pdf

Cadence SPB 15.7 快速入门视频教程 的SPB 16.2版本 第01讲 - 第15讲:OrCAD Capture CIS原理图创建 第16讲 - 第26讲:Cadence Allegro PCB创建封装 第27讲 - 第36讲:Cadence Allegro PCB创建电路板和元器件布局 第37讲 - 第46讲:Cadence Allegro PCB设置布线规则 第47讲 - 第56讲:Cadence Allegro PCB布线 第57讲 - 第60讲:Cadence Allegro PCB后处理、制作光绘文件 第1讲 课程介绍,学习方法,了解CADENCE软件 1.要开发的工程 本教程以下面的例子来开始原理图设计和PCB布线 2.教程内容

3.软件介绍 Design Entry CIS:板级原理图工具 Design Entry HDL:设计芯片的原理图工具,板级设计不用 Layout Plus:OrCAD自带的PCB布线工具,功能不如PCB Editor强大 Layout Plus SmartRoute Calibrate:OrCAD自带的PCB布线工具,功能不如PCB Editor强大PCB Editor:Cadence 的PCB布线工具 PCB Librarian:Cadence 的PCB封装制作工具 PCB Router:Cadence 的自动布线器 PCB SI:Cadence 的PCB信号完整性信号仿真的工具 SigXplorer:Cadence 的PCB信号完整性信号仿真的工具 4.软件列表

5.开始学习Design Entry CIS 启动:Start/Cadence SPB 16.2/Design Entry CIS 启动后,显示下图: 里面有很多选项,应该是对应不同的License 本教程使用:OrCAD Capture CIS 我个人认为:Allegro PCB Design CIS XL是所有可选程序中,功能最强大的,但不知道,强在哪里;而且本教程的原理图文件可以使用上表中不同的程序打开 6.选择OrCAD Capture CIS,启动后显示下图

如何设置allegro的快捷键

如何设置allegro的快捷键 (2009-08-09 15:01:58) 转载 修改变量文件,设置自定义快捷键。 Allegro可以通过修改env文件来设置快捷键,这对于从其它软件如protle或PADS迁移过来的用户来说,可以沿用以前的操作习惯,还是很有意义的。 先说一下Allegro的变量文件,一共有2个,一个是用户变量,一个是全局变量。 用户变量文件的位置,通过系统环境变量设置:系统属性-高级-环境变量,其中的Home值就是env所在目录。要注意的是,这里也有两个变量,一个是用户变量一个是系统变量,在用户变量里设置了Home之后就不需要在系统变量里再设置了,如果同时设置的话,会以用户变量的为准而忽略系统变量。比如我在用户变量里设置的Home目录为d:\temp,那么env 文件就位于d:\temp\pcbenv内。 如果没有在系统属性里设置Home变量的路径,那么对于XP,会自动在C:\documents and settings\用户文件夹\pcbenv内产生env文件。对于2000,pcbenv目录位于C盘根目录下。 全局变量的位置,固定为软件安装目录内,比如我的就是:d:\cadence\spb_15.7\share\pcb\text 内。 通常建议修改用户变量env文件,而不要修改全局变量env文件,至于为什么,我也不知道:) 另外,这2个env文件,用户变量的优先级更高,就是说如果2个文件中的设置出现冲突,那么以用户变量env文件为准。 好了,搞清楚env文件的位置后,我们就可以来修改了。 用户变量env文件,是类似于下面的格式: source $TELENV

CADENCE应用---HDL原理图+Allegro基本操作

HDL原理图+Allegro基本操作 1.启动Project Manager操作 可以通过开始菜单栏或者桌面快捷方式启动Project Manager;Project Manager用于整个工程的维护,可以打开及编辑原理图、PCB,更新网表等操作。 打开后的Project Manager见下图:layout阶段主要使用OpenProject;

2.原理图与PCB打开操作介绍 A.Project Manager默认选择下图所示版本 B.点击Project Manager界面中的OpenProject按钮,选择需要打开的工程;

C.需要选择的文件为cpm后缀的文件,见下图: D.选择cpm文件后,打开后的Project Manager界面如下图所示:

E.说明: ①工程需要完整,不能缺失文件,否则打开容易出错;客户提供文件要提供完整的工程文件夹; ②PCB需要放在physical文件夹下,这样才能方便后续的同步更新网表及PCB与原理图同步关联;physical文件夹是worklib文件夹的子文件夹;

3.更新网表操作 HDL更新第一方网表有2种操作: A.直接生成网表,然后在PCB中导入网表 不勾选update PCB…,生成的网表在packaged文件夹下; PCB中导入网表操作: 如下图,选择HDL格式,Import directory需要网表所在位置;

B.第二种方法:直接同步关联,在生成网表的同时对PCB进行更新; 在export physical时勾选下图所示“update PCB …”,PCB文件放在physical文件夹下; 其中“1”选择的为需要更新网表的PCB文件;“2”为更新网表之后的PCB;建议此处命名和“1”处做区分; 点击OK,进行网表更新,直至提示完成为止; 更新网表时,不要打开PCB文件;

cadence原理图设计规范

原理图设计规范 理念: 设计好一份规范的原理图对设计好PCB/跟机/做客户资料具有指导性意义,是做好一款产品的基础。 一、标准图框图幅 根据实际需要,我公司常用图幅为A2、A3、A4,并有标准格式的图框。其中每一图幅可根据方向分为Landscape(纵向)及Portrait(横向)。在选用图纸时,应能准确清晰的表达区域电路的完整功能。 二、电路布局 原理图的作用是表示电路连接关系,因此需要注意电路结构的易读性。一般可将电路按照功能划分成几个部分,并按照信号流程将各部分合理布局。连线时,需注意避免线条的不必要交叉,以免难于辨识。具体要求如下: 1. 各功能块布局要合理, 整份原理图需布局均衡. 避免有些地方很挤,而有些 地方又很松, PCB 设计同等道理. 2. 尽量将各功能部分模块化(如功放,RADIO, E.VOL, SUB-WOOFER 等),以便于同 类机型资源共享, 各功能模块界线需清晰. 3. 接插口(如电源/喇叭插座, AUX IN, RCA OUTPUT, KB/CD SERVO 接口等)尽 量分布在图纸的四周围, 示意出实际接口外形及每一接脚的功能. 4. 可调元件(如电位器), 切换开关等对应的功能需标识清楚. 5. 滤波器件(如高/低频滤波电容,电感)需置于作用部位的就近处. 6. 重要的控制或信号线需标明流向及用文字标明功能. 7. CPU 为整机的控制中心, 接口线最多. 故CPU周边需留多一些空间进行布线 及相关标注,而不致于显得过分拥挤. 8. CPU 的设置管脚(如AREA1/AREA2, CLOCK1/CLOCK2等)需于旁边做一表格进 行对应设置的说明. 9. 重要器件(如接插座,IC, TUNER 等)外框用粗体线(统一 0.5mm). 10. 元件标号照公司要求按功能块进行标识. 11. 元件参数/数值务求准确标识. 特别留意功率电阻一定需标明功率值, 高耐 压的滤波电容需标明耐压值. 12. 每张原理图都需有公司的标准图框,并标明对应图纸的功能,文件名,制图人 名/审核人名, 日期, 版本号.

Cadence原理图设计简介

原理图设计简介 本文简要介绍了原理图的设计过程,希望能对初学者有所帮助。 一.建立一个新的工程 在进行一个新的设计时,首先必须利用Project Manager 对该设计目录进行配置,使该目录具有如下的文件结构。 所用的文件库 信息。 Design directory 启动Project Manager Open: 打开一个已有Project . New :建立一个新的Project . 点击New 如下图: cadence 将会以你所填入的project name 如:myproject 给project file 和design library 分别命

名为myproject.cpm和myproject.lib 点击下一步 Available Library:列出所有可选择的库。包括cadence自带库等。Project Library:个人工程中将用到的所有库。如myproject_lib 点击下一步 点击下一步

点击Finish完成对设计目录的配置。 为统一原理图库,所有共享的原理图库统一放在CDMA硬件讨论园地----PCB设计专栏内。 其中:libcdma 目录为IS95项目所用的器件库。 libcdma1目录为IS95项目之后所用的器件库。 每台机器上只能存放一套共享的原理图库,一般指定放在D:盘的根目录下, 即:D:\libcdma , D:\libcdma1 ... * 注意:设计开始时,应该首先将机器上的库与共享的原理图库同步。 下面介绍如何将共享库加入到自己的工程库中。 点击Setup 点击Edit 编辑cds.lib文件。添入以下语句: define libcdma d:\libcdma define libcdma1 d:\libcdma1 则库libcdma , libcdma1被加入Availiable Library 项内。如下图:

allegro 软件常用功能操作汇总

allegro 软件常用功能操作汇总 1.在allegro中怎样移动元件的标识 edit-->move,右边find面板只选text~~~ 2.allegro 查找元件的方法 按F5然后在Find 面板,Find by name 下面选Symbol(or pin) ,接着再下面输入元件名称,按回车后,屏幕就会高亮这个元件 3.allegro 如何将元件元件到底层 edit---mirror,find栏选SYMBOL和TEXT 4.在Allegro中如何更改字体和大小(丝印,位号等) 配置字体: allegro 15.2: setup->text sizes text blk:字体编号 photo width: 配置线宽 width,height:配置字体大小 改变字体大小:edit->change,然后在右边控制面板find tab里只选text(只改变字体) 然后在右边控制面板options tab里line width添线的宽度和text block里选字体的大小。 最后选你准备改变的TEXT。 框住要修改的所有TEXT可以批量修改 allegro 16.0: setup->design->parameter->text->setup text size text blk:字体编号 photo width: 配置线宽 width,height:配置字体大小 改变字体大小: edit->change,然后在右边控制面板find tab里只选text(只改变字体) 然后在右边控制面板options tab里line width添线的宽度和text block里选字体的大小。 class->ref des->new sub class->silkscreen_top 最后选你准备改变的TEXT,框住要修改的所有TEXT可以批量修改, 注意: 如果修改顶层丝印要先关掉底部丝印层,silkscreen_bottom和display_bottom -------------------------------------------------------------------- 在建封装的时候可以设定 5.如何allegro在中取消Package to Package Spacing的DRC检测 setup -> constraint -> design constraints -> package to package ->off 6.fanout by pick 的用途 route->fanout by pick 给bga自动的打via, 对某个器件进行fanout,通俗的说就是从pin拉出一小段表层或底层线,打个孔

CADENCE 培训(原理图设计一)

CONCEPT-HDL原理图设计 一.创建新项目 1.用Project Manager建立Project *注意:在这里最好新建一个自己的设计目录并将所用库拷到本目录下,如果用默认的目录和库,很容易将目录结构搞乱。记住一定要编辑cds.lib文件 在Project Manager工具栏中选择File->New,将出现一个建立Project的对话框,按着提示新建了一个cpm文件并建立起了一个Lib:Cell:View:File结构的目录层次。其中Lib、Cell和View分别表示一组目录。在实际使用中发现,认识并理解这个结构,对学习这套工具的使用很有帮助。下图显示了一个典型的Lib:Cell:View目录结构: 由上图可以看出Lib目录是一个库目录,该目录下包含了所有的Cells。每个Cell目录下存放了一个设计(这里的设计是指PCB或芯片的设计,因此PCB中使用的芯片也被认为是一个设计而存在Cell目录下)的所有数据。这些数据又被分类归入各个VIEW。比如,UAS项目中交换板的Cell目录是SPB,在SPB下有schematic,symbol,package和physical等view目录。每个View目录下包含了一个设计的某一方面的数据。比如,原理图数据都被存放在sch_n VIEW(n表示原理图的版本号)。而Layout数据则被放physical下。 .cpm 当新工程的Wizard提示你给出新工程的名字后,你提供的工程名将被小写和加重,这个文件被用作工程文件名(后缀为*.cpm),这个工程文件包含了设计名称和库搜索列表(设计列表和零件列表)。 cds.lib 一个库被添加到搜索列表里之前,他首先必须被定义在cds.lib文件里,因此每一个在搜索列表里的库必须有一个都必须有一个相应的条目在cds.lib里。 每个在cds.lib里的库有两个特征:名称和物理位置。New Project Wizard会自动添加一个设计库进入工程文件,cds.lib文件辉映射库名到库位置。 worklib directory

Allegro基础教程

产品研发流程 在看电路板的设计流程之前,可以先了解电路板的设计在整个产品的研发(R&D)环节中所占位置,如下图所示。 鼠标操作 请激活Allegro,然后再使用其左上角的“File/Open…” 命令,叫出电路板档案demo_route.brd ( 位于C:\project\allegro 目录),接下来说明鼠标的操作使用,在Allegro 系统中,其鼠标三个按键之功能如下: 1. 鼠标左键–选取功能 (1) 用来在菜单内选择命令,并执行之。 (2) 用来选取欲动作的对象或对象。 2. 鼠标中键–画面控制功能 (1) 按住鼠标中键不放开,并同时移动鼠标,可以平移目前的可视画面位置。 (2) 按一下鼠标中键,可以控制屏幕的大小,至于是做放大或缩小的功能,则需视上一次是做放大或缩小的功能而定,即与上一次做相同的功能。 3. 鼠标右键–弹出式选单当有使用命令时,可在Design Window 中下按一下鼠标右键,会拉出目前命令的弹出式菜单,而每一个命令的弹出式选单,可能会有不一样的选项,以下介绍各个选项: (1) Done:执行本命令后,才结束本命令。 (2) Oops :复原上一次的动作 ( Undo 功能 )。 (3) Cancel:取消本命令的执行。 (4) Temp Group :开始进行“自由多点选取” 的动作 (5) Complete:结束“自由多点选取” 的动作。 (6) Cut:选两点以截切出一个线段。 (7) Reject:在相同位置选取另一个合乎Find 的对象。 (8) AltSymbol:选取另一个可用的零件包装(Foot Print)。 (9) Mirror Geometry:将选取的对象进行换Mirror 的动作。 (10)Align:将对象的角度调成一致。 (11) Rotate :将对象进行旋转的动作。 同时按下键盘的 CTRL 键及鼠标右键,然后移动鼠标,可在画面上直接写出Stroke 的样式,若符合Stroke 所定义的样式,系统则立即执行 Stroke 功能,以下为系统内定的 Stroke 样式及所代表的命令:Stroke 样式命令

Cadence-原理图批量修改元器件属性

一、导出BOM 前提条件:对所有器件的位号进行过检测。不允许出来两个器件使用相同的位号。最简单的方式是通过Tool→Annotate重新进行编排,保证不会出错。 步骤1,选中所在的工程设计,如下图 步骤2,点击Tools→Bill of Meterials

步骤3:选中“Place each part entry on a separate line”,并且在header和Combined propert string 中输入你所想要导出的参数,其中必须选择”Reference”,这个是器件的位号,属于唯一值,后面有大用。 至此,BOM已经按照我们想要的格式导出来的。接下来就是修改BOM 二、修改BOM的内容 步骤1:打开BOM,刚打开的BOM应该是长得跟下面差不多

步骤2:将期修改一下,去掉一些不必要的几行,和不必要的列“item”和”quantity”修改后应该是这样。 步骤3:根据自己的想法,修改BOM的具体内容,注意,Reference这一列一定不能修改。

这个演示只是装简单地添加了一个叫做Mount的属性,用于表明这个器件要不要焊接 修改完成后,如下图所示: 三、生成upd文件。 Cadence Capture CIS能够从UPD文件中自动更新器件的属性。所以一个很重要的步骤就是生成UPD文件。 UPD文件格式的基本样子是这样子的: "{Part Reference}" "TOL" "R1" "10%" "U1" "/IGNORE/" 步骤1:添加分号。方便起见将工作簿修改一下名字,同时增加两个新的工作页。如下图

步骤2:在sheet2的A1格中输入="$"&sheet1!A1&"$" 。如下图所示。这样做的目录是将sheet1的A1格的内容前后各加一个$号。其实添加$号也不是最终目的,只是这样操作比较简单

cadence原理图设计

本文简要介绍了cadence原理图的设计过程,希望能对初学者有所帮助。 一.建立一个新的工程 在进行一个新的设计时,首先必须利用Project Manager 对该设计目录进行配置,使该目录具有如下的文件结构。 下面举例说明: 启动Project Manager Open: 打开一个已有Project . New :建立一个新的Project . 点击New 如下图: cadence 将会以你所填入的project name 如:myproject 给project file和design library分别命名为myproject.cpm 和myproject.lib

点击下一步 Available Library:列出所有可选择的库。包括cadence 自带库等。Project Library:个人工程中将用到的所有库。如myproject_lib 点击下一步 点击下一步

点击Finish完成对设计目录的配置。 为统一原理图库,所有共享的原理图库统一放在CDMA 硬件讨论园地----PCB 设计专栏内。其中: libcdma 目录为IS95 项目所用的器件库。libcdma1 目录为IS95 项目之后所用的器件库。 每台机器上只能存放一套共享的原理图库,一般指定放在D:盘的根目录下,即:D:libcdma , D:libcdma1 ... * 注意:设计开始时,应该首先将机器上的库与共享的原理图库同步。 下面介绍如何将共享库加入到自己的工程库中。 点击 Edit 编辑cds.lib 文件。添入以下语句: define libcdma d:libcdma define libcdma1 d:libcdma1 则库libcdma , libcdma1 被加入Availiable Library 项内。如下图:

Allegro入门总结

参考资料:于博士 cadence视频教程,入门的主要资源。 1 Cadence高速电路板设计与仿真周润景 2 使用的软件为:cadence 16.3,学习目录跟2的目录一致。 一、cadence原理图设计平台 这里使用的cadence原理图设计平台是Design Entry CIS,在使用上比较方便简单,跟protel 相似,主要是跟于博士的教程没有什么出入,按照视频教程基本上看两遍就可以学会了。对于工具的使用自己摸索摸索多数功能也能实现。没有太多容易遗忘的地方。 原理设计流程: 1、建立元件库,这里仅仅使用一些基本的方法建立元件; 在Capture Design CIS,file->new->Library,可以新建一个元件库用来存储自己的元件符号。选择xxx.olb文件,右键new part就可以添加自己的元件; 在原理图工作环境中把自己建立的库选入列表中就可以跟使用自带的元件库一样。 此外常用的库有:Discrete.olb、MicroController.olb、Conector.olb、Gate.olb;添加方法是“Place Part” 对话框中的Library中点击添加;(只有两个按钮,一个删除,一个添加)。 在原理图制作中,需要注意栅格选定,如果放置时引脚没有选定,绘出的元件在原理图中很难连线。绘制元件图用到的操作一般在Option里面,其他放置引脚,元件框,都在右边的工具栏中; 2、放置元件,连接成原理图,主要是网络和层次电路图的使用;

File->new->project命令建立新的原理图,选择sch即可。至于其他的操作方法比较符合人的思维习惯,把于博士的视频教程看一遍估计就可以记住了。 3、封装填写,可以批量填写,这个功能很有用; 批量填写,具体操作时把元件都选中后,右键Edit Propertion,在footprint选项中,想使用excel一样,填写即可; 4、DRC检查和元件的标号标注; 在进行这些操作时需要选中DSN文件,另外在于博士的教程中详细讲解了Edit->browse 命令的用法,很是受用; 5、导出网络列表; 跟上面一样需要选择dsn文件。 二、cadence封装的制作 由于cadence封装对制版关系重大,且不容易检查,这里不做简要介绍。于博士的视频教程跟实际使用基本没有出入,按照教程做几个封装基本就会掌握。封装的制作工具是 pad designer和allegro PCB design GXL16.3,这个版本跟于博士教程中师范的版本有较大的出入。在使用时往往需要添加自己封装库,添加方法是:Setup->User Preferences Dailog,z在这个对话框中选择Paths->Library,在里面设置padPath和psmPath即可。选择自己建立封装的文件夹,这样在Place Manuly里面就可以看到,需要把library选上。 这里对paddesigner中的一些概念明确一下: 1、PAD有三种 1)Regular Pad ,规则焊盘(正片中),有圆形(Circle)、方形(Square)、Oblong(拉长圆形)、方形(Rectang)、Octagon(八边形)、任意形状(Shape);

Cadence基本操作、快捷键、基础知识

一、基本操作 (一)电路图绘制 1、登陆到UNIX系统。 在登陆界面,输入用户名***和密码***** 。 2、Cadence的启动。 登录进去之后,点击Terminal出现窗口,输入icfb命令,启动Cadence软件。 3、根据设计指标及电路结构,估算电路参数。 4、利用Candence原理图的输入。 (1)Composer的启动。在CIW窗口新建一个单元的Schematic视图。 (2)添加器件。在comparator schematic窗口点击Add-Instance或者直接点i,就可以选择所需的器件。 (3)添加连线。执行Add-Wire,将需要连接的部分用线连接起来。 (4)添加管脚。执行Add-Pin和直接点p,弹出添加管脚界面。 (5)添加线名。为设计中某些连线添加有意义的名称有助于在波形显示窗口中显出该条线的信号名称,也可以帮助检查电路错误。点击Add-Wire Name,弹出新窗口,为输入输出线添加名称。为四端的MOS器件的衬底添加名称vdd!或gnd!,其中!表示全局变量。(6)添加电源信号,根据不同的仿真电路设置不同的电源参数。 (7)保存并检查。点击schematic窗口上的Check and Save按钮,察看是否有警告或者错误。如果有,察看CIW窗口的提示。4、利用Candence原理图的输入。 (二)电路图仿真 (1)启动模拟仿真环境。在comparator schematic窗口,选择Tools-Analog Environment,弹出模拟仿真环境界面。 (2)设置模型库。 (3)设置分析类型。在仿真窗口,点击Choose Analyses按钮,弹出Choose Analyses窗口,该窗口中列出了各种仿真类型,依次进行各种仿真,如ac、dc、tran,进行交流仿真、直流仿真、瞬态仿真。 (4)设置波形显示工具。Cadence中有两种波形显示工具:AWD和wavescane,在仿真窗口选择Session-assign,在弹出的窗口中可以选择波形显示工具为AWD或wavescane。 (5)选择输出结果显示信号。在Results中选择Main ,在电路图中点击输出确定输出波形信号。 (6)进行仿真。选择Simulation-Run,或者直接点击仿真窗口右下角的Run按钮来启动仿真,仿真开始过程中,在CIW窗口会出现一系列仿真信息,另外会弹出一个Spectre输出窗口。仿真结束会自动弹出波形显示窗口。 (7)观察波形,看是否满足设计要求。 (二)版图绘制 (1)Composer的启动。在CIW窗口新建一个单元的layout视图。 (2)添加器件。在comparator schematic窗口点击Add-Instance或者直接点i,就可以选择所需的器件。 (3)选择有源区LSW中的TO,在layout视图中点击R,点击鼠标生成有源区区域,另外P 阱选TB,N型沉底选SN,P型衬底选SP。

相关文档
相关文档 最新文档