文档库 最新最全的文档下载
当前位置:文档库 › DSB-SC和解调的实现

DSB-SC和解调的实现

DSB-SC和解调的实现
DSB-SC和解调的实现

抑制载波双边带调幅(DSB-SC)和解调的实现

一、设计目的和意义

通过数字通信课程设计对以前所学的有关数字信号处理和通信电路方面的知识做全面的梳理,对通信信号的调制、滤波、解调、分析,进一步理解和掌握通信信号工作的原理。

通过课程设计中对Matlab及其工具箱函数的运用,学会运用Matlab软件环境对通信信号进行处理和分析

二、设计原理

如果输入的基带信号没有直流分量,则得到的输出信号就是无载波分量的双边带调制信号,简称DSB信号。此时的DSB信号实质上就是m(t)和载波的相乘,其时域表达式为:

其波形图如图所示。

图1 抑制载波双边带波形

抑制载波双边带调幅信号的频谱

抑制载波双边带调幅的调制过程实际上就是调制信号与载波的相乘运算,其模型如下:

图2 抑制载波双边带调幅调制模型

由抑制载波双边带调幅信号的频谱可知,如果将已调信号的频谱搬回到原点位置,即可得到原始的调制信号频谱,从而恢复出原始信号。解调中的频谱搬移同样可用调制时的相乘运算来实现。

图3抑制载波双边带调制的相干解调

将已调信号乘上一个同频同相的载波,如图,可得到

用一个带通滤波器可以将上式中第1项和第2项分离,无失真地恢复出原始的调制信号。这种调制方法又称为相干解调。

三、详细设计步骤

(1)信号和载波的Matlab语言编写

按设计要求的内容编写信号(辛格函数)和载波,并绘制出其波形。

(2)信号频谱的Matlab语言编写

根据设计要求中信号的表达示,通过求傅立叶变换来实现信号的频谱,利用fft算法实现函数图的画法。

(3)信号的调制

对信号按要求进行调制,并绘制出已调信号的波形图和频谱

由调制原理知:其过程就是调制信号与载波的相乘运算。故将上述两个信号相乘,就可以得出已调信号,再用傅立叶变换来实现已调信号的频谱。

(4)解调已调信号

已调信号的解调必须用相干解调的方法,是将已调信号乘上一个同频同相的载波。然后用用一个低通滤波器就可以恢复原始的调制信号。

(5)已调信号的功率谱分析

通过对自相关函数的求解,绘制已调信号的功率谱密度

设计结果及分析

(1)原始信号为辛格函数

图4.待调制信号的波形图

图5.待调制信号的频谱图

(2)载波信号为余弦,200c f Hz ,波形如图所示

图6.载波信号的波形图

(3) 信号的调制,已调信号则是由原信号与载波信号相乘。根据信号调制的原理,调制后的信号的包络应该和原始信号的相似,而频率则和载波信号的频率相同,通过已调信号波形对原始信号和载波信号的分析和比对,可以认为调制的结果是正确的。通过对信号的频谱分析,也可以看出调制的过程就是信号频谱搬移的过程,也可以严整信号的调制是否正确。

图7.已调信号的波形图

图8.已调信号的频谱图

(4)对信号的解调就是已调信号乘上一个同频同相的载波cos 2c f t π(200c f Hz =),然后低通滤波器进行滤波,得到的图形和原始信号基本相同。

图9解调信号的波形图

图10.解调信号的频谱图

图11.解调恢复出的原始信号图

(6)对已调信号进行功率谱密度分析,求其自相关函数,然后在把自相关函数经过傅立叶变换,此时即可得到相应的功率谱密度函数波形

图12.已调信号的功率谱密度

通过以上可知,对信号进行调制解调的一般过程就是首先绘制待调制信号和载波信号,然后把他们相乘,也就是调制,通过相干解调恢复原始信号,通过乘一个同频同相的载波信号,在进行滤波就可以近似恢复到原始信号。

四、体会

在Matlab软件环境下,按设计要求的内容完成了对“抑制载波双边带佻幅(DSB-SC)和解调的实现”的设计。

通过这次设计,我熟练得掌握了Matlab环境下的操作方法和步骤,通过对设计内容的理解和认识,进一步加深了对信号调制过程的理解,由于设计过程中运用了数字信号处理的知识,在学习通信原理的同时又对数字信号处理的知识做了巩固,包括其中傅立叶变换、滤波器的设计等等

在设计的过程中也遇到了很多问题,比如程序参数的设计,由于参数设计的不确切,对设计结果有很大的影响,通过反复的实验和向同学们求助,一步一步的完成了对课程的设计。

有了这次的课程设计,深深的认识到我们所学课程设计之间的联系是多么的紧密,通过综合的课程设计可以把我们所学的知识融会贯通,做再一次的消化和吸收,从中我也感觉到了自己的不足,对知识的掌握力度不够,对知识的变通和运用也不够灵活,今后要加强对综合知识的运用,通过综合的设计全面的掌握通信方面的知识,形成一个体系,为以后的学习和工作打下好的基础。

五、参考文献

[1]. 曹志刚.现代通信原理. 北京: 清华大学出版社. 2007

[2]. 程佩青. 数字信号处理教程. 北京:清华大学出版社,2007

[3]. 刘敏\魏玲编著.MATLAB通信仿真与应用.北京:国防工业出版社

附:原程序代码

主程序:

clear

t0=2;ts=0.001;

fc=100;fs=1/ts;

df=0.3;

t=[-t0/2:ts:t0/2];

x=sin(200*t);

m=x./(200*t);

m(1001)=1;

c=cos(2*pi*fc.*t);

u=m.*c;

[M,m,df1]=fftseq(m,ts,df); %对信号做傅立叶变换M=M/fs;

[U,u,df1]=fftseq(u,ts,df);

U=U/fs;

s=x./(200*t);

i=s.*c;

y=i.*c;

[Y,y,df1]=fftseq(y,ts,df);

Y=Y/fs;

n=100;

n=floor(100/df1);

f=[0:df1:df1*(length(y)-1)]-fs/2;

H=zeros(size(f));

H(1:n)=2*ones(1,n);

H(length(f)-n+1:length(f))=2*ones(1,n);

L=H.*Y;

dem=real(ifft(L))*fs;

subplot(2,1,1)

plot(t,m(1:length(t)))

axis([-0.1,0.1,-1,1.1]);

title('待调制信号'); %画出原始信号subplot(2,1,2)

plot(f,abs(fftshift(M)))

xlabel('待调信号的频谱'); %画原始信号的频谱pause

subplot(2,1,1)

plot(t,c(1:length(t)))

axis([-0.1,0.1,-1.5,1.5]);

title('载波信号'); %画出载波信号subplot(2,1,2)

plot(t,u(1:length(t)))

axis([-0.1,0.1,-1,1.2]);

title(' 已调信号'); %画出已调信号pause

subplot(2,1,1)

plot(f,abs(fftshift(U)))

title('已调信号的频谱'); %画出已调信号的频谱subplot(2,1,2)

plot(t,y(1:length(t)))

axis([-0.1,0.1,-1,1.2]);

title(' 解调信号的波形'); %画出解调信号pause

subplot(2,1,1)

plot(f,abs(fftshift(Y)))

title('解调信号的频谱'); %画出解调信号的频谱subplot(2,1,2);

plot(t,dem(1:length(t)));

axis([-0.1,0.1,-1,1.2]);

title('解调后波形'); %画出解调出来的信号

t0=2;

ts=0.001;

fc=100;

fs=1/ts;

df=0.3;

t=[-t0/2:ts:t0/2];

x=sin(200*t);

m=x./(200*t);

m(1001)=1;

c=cos(2*pi*fc.*t);

xn=m.*c;

nfft=1024;

cxn=xcorr(xn,'unbiased'); %计算序列的自相关函数

CXk=fft(cxn,nfft);

Pxx=abs(CXk);

index=0:round(nfft/2-1);

k=index*fs/nfft;

plot_Pxx=10*log10(Pxx(index+1));

subplot(2,1,2)

plot(k,plot_Pxx);

title('已调信号的功率谱密度'); %画出已调信号的功率谱密度

子函数

function[M,m,df]=fftseq(m,tz,df)

m(1001)=1;

tz=0.001;

df=0.3;

fz=1/tz;

if nargin==2

n1=0;

else n1=fz/df;

end

n2=length(m);

n=2^(max(nextpow2(n1),nextpow2(n2))); M=fft(m,n);

m=[m,zeros(1,n-n2)];

基于MATLAB的FSK调制解调实现完整版

目录 一. FSK理论知识………………………………………………… 1.1FSK概念………………………………………………………………… 1.22FSK信号的波形及时间表示式………………………………………… 1.32FSK信号的产生方法…………………………………………………… 1.42FSK信号的功率谱密度………………………………………………… 1.52FSK信号的解调………………………………………………………… 1.6FSK的误码性能…………………………………………………………… 二.用MATLAB进行FSK原理及误码性能仿真……… 三、结论…………………………………………… 四、参考文献…………………………………………、 五、源程序……………………………………………

1、FSK理论知识 频率调制的最简单形式是二进制频率键控(FSK,frequency-shift keying)。FSK是调制解调器通过电话线路发送比特的方法。每个比特被转换为一个频率,0由较低的频率表示,1由较高的频率表示。 1.1、FSK概念 传“0”信号时,发送频率为f1的载波; 传“1”信号时,发送频率为f2的载波。可见,FSK是用不同频率的载波来传递数字消息的。 实现模型如下图: 1.2、2FSK信号的波形及时间表示式 根据上图模型的实现可以得到2FSK的信号波形如图:

2FSK信号的时间表达式为: 由以上表达式可见,2FSK信号由两个2ASK信号相加构成。 注意:2FSK有两种形式: (1)相位连续的2FSK; (2)相位不连续的2FSK。 在这里,我们只讨论相位不连续的频移键控信号,这样更具有普遍性。 1.3、2FSK信号的产生方法 2FSK信号的产生方法:2FSK信号可以两类方法来产生。 一是采用模拟调频的方法来产生(图1);另一种方法是采用键控法(图2); 图1.3-1 图1.3-2 1.4、2FSK信号的功率谱密度

基于MATLAB SIMULINK的FM调制解调

摘要 在模拟通信系统中,由模拟信源产生的携带有信息的消息经过传感器转换成电信号。模拟基带信号在经过调制将低通频谱搬移到载波频率上适应信道,最终解调还原成电信号。本文应用了频率调制法产生调制解调信号。本论文中主要通过对SIMULINK工具箱的学习和使用,利用其丰富的模板以及本科对通信原理知识的掌握,完成了FM信号的调制与解调,以及用SIMULINK进行设计和仿真。首先利用简单的正玄波信号发生器作为信源,对模拟信号进行FM调制解调原理的仿真。 关键词:调制解调;FM ;MATLAB;SIMULINK仿真

Abstract In the simulation of communication systems, generated by the analog source carrying a message through the sensor into electrical signals. Analog baseband signal after the modul- -ation of the low pass spectrum to carrier frequency to adapt to the channel, the final reducti- -on into electrical signal demodulation. This paper applied the frequency modulation method to generate the signal modulation and demodulation. Mainly through the study and use of SIMULINK toolbox in this thesis, with its rich template and undergraduate course on comm--unication theory knowledge,the modulation and demodulation of FM signal, as well as the design and simulation with SIMULINK. Firstly, sine wave signal generator is simple as the source, simulation FM modulation anddemodulation principle of analogue signals. Then, using the song as the source. Keywords: modulation and demodulation;FM; MATLAB; SIMULINK simulation

基于MATLAB的FSK调制解调1

基于MATLAB的FSK调制解调 学生姓名:段斐指导老师:吴志敏 摘要本课程设计利用MATLAB集成环境下的M文件,编写程序来实现FSK 的调制解调,并绘制出解调前后的时域和频域波形及叠加噪声时解调前后的时频波形,并观察解调前后频谱有何变化以加深对F SK信号解调原理的理解。对信号叠加噪声,并迚行解调,绘制出解调前后信号的时频波形,改变噪声功率迚行解调,根据运行结果和波形来分析该解调过程的正确性及信道对信号传输的影响。完成整个FSK的调制解调过程。程序开发平台为MATLAB7.1,使用其自带的M文件实现。运行平台为Windows 2000。 关键词:程序设计;FSK ;调制解调;MATLAB7.1;M文件 1引言 本课程设计是利用MATLAB集成环境下的M文件,编写程序来实现FSK 的调制解调,并绘制出解调前后的时域和频域波形及叠加噪声时解调前后的时频波形,根据运行结果和波形来分析该解调过程的正确性及信道对信号传输的影响。 1.1课程设计目的 此次课程设计的目的是熟悉MATLAB中M文件的使用方法,编写M文件实现FSK的调制和解调,绘制出FSK信号解调前后在时域和频域中的波形,观察调解前后频谱的变化,再对信号迚行噪声叠加后解调同样绘制解调前后的

信号时频波形,最后改变噪声功率迚行调解,分析噪声对信号传输造成的影响,加深对FSK信号解调原理的理解。 1.2课程设计要求 熟悉MATLAB中M文件的使用方法,并在掌握FSK调制解调原理的基础上,编写出F SK调制解调程序。在M文件环境下运行程序绘制出F SK信号解调前后在时域和频域中的波形,观察波形在解调前后的变化,对其作出解释,同时对信号加入噪声后解调,得到解调后的时频波形,分析噪声对信号传输造成的影响。解释所得到的结果。 1.3课程设计步骤 本课程设计采用M文件编写的方法实现二迚制的FSK的调制与解调,然后在信号中叠加高斯白噪声。一,调用dmode函数实现FSK的解调,并绘制出F SK信号调制前后在时域和频域中的波形,两者比较。二,调用ddemod函数解调,绘制出F SK信号解调前后在时域和频域中的波形,两者比较。三,调用awgn函数在新海中叠加不同信噪比的噪声,绘制在各种噪声下的时域频域图。最后分析结果。 1.4设计平台简介 Matlab是美国MathWorks公司开发的用于概念设计,算法开发,建模仿真,实时实现的理想的集成环境。是目前最好的科学计算类软件。 作为和Mathematica、Maple并列的三大数学软件。其强项就是其强大的矩阵计算以及仿真能力。Matlab的由来就是Matrix + Laboratory = Matlab,这个软件在国内也被称作《矩阵实验室》。Matlab提供了自己的编译器:全面兼容C++以及Fortran两大语言。Matlab 7.1于2005.9最新发布-完整版,提供了

FSK调制

二进制移频键控(2FSK) 一、实验目的 1、掌握2FSK调制原理及其实现方法 2、掌握2FSK解调原理及其实现方法 3、了解非线性调制时信号的频谱变化 二、实验内容 1、理解2FSK的调制和解调原理并用SystemView软件仿真其实现过程 2、用SystemView分析二进制移频键控调制前后信号频谱的变化 三、实验原理 1. 调制 FSK是用不同频率的载波来传递数字消息的。 二进制移频键控(2FSK):用二进制的数字信号去控制发送不同频率的载波。即传“1”信号时发送频率为f1的载波;传“0”信号时发送频率为f2的载波。这种调制属于非线性调制。 2FSK的时域表达式为: 其中: 为a n的反码。 2FSK调制方法有两种: (一)可以用矩形脉冲序列对一个载波进行调频而实现这也是利用模拟调频法实现数字调制的方法,框图如图2-8所示: 图2-8 2FSK模拟调制法原理框图 (二)键控法即用矩形脉冲序列对两个不同频率的载波进行选通框图如图2-9所示: 图2-9 2FSK键控法原理框图 2. 解调 2FSK的解调方法有非相干解调和相干解调,如图2-10所示:

图2-10 2FSK解调原理方框图 这里的抽样判决器与2ASK解调时不同,只需判断哪一个输入样值大不专门设置门限电平。 四、2FSK调制解调系统的SystemView仿真 1. 调制仿真框图及参数设置 键控法: 参数设置 系统时钟:No. of Sample: 1001; Sample Rate: 10000Hz; No.of System Loop: 1 器件参数 矩形脉冲 0 1V; 100Hz; Offset 0; 0deg 正弦信号 1 1V; 500Hz; 0deg 正弦信号 2 1V; 1000Hz; 0deg; 双刀开关 5 Logic---MixedSignal---SPDT;Gate Delay 0; Ctrl Thresh 0.5V 2、解调仿真原理图及参数设置

基于MATLAB的FSK调制解调 (1)

基于MATLAB的FSK的实验报告 姓 1.1

实现对FSK的MATLAB仿真. 重点研究问题: (1) 对FSK的概念、组成以及性能分析方法有深入的研究; (2) FSK调制与解调的原理及应用MATLAB软件实现仿真的方案. 1.2 FSK信号的调制方法 移频键控(FSK):用数字调制信号的正负控制载波的频率。当数字信号的振幅为正时载波频率为f1,当数字信号的振幅为负时载波频率为 f2。有时也把代表两个以上符号的多进制频率调制称为移频键控。移频键控能区分通路,但抗干扰能力不如移相键控和差分移相键控。他的主要调制方法有以下两种: 方法一: 用一个矩形脉冲序列对一个载波进行调频。 图2-3 2FSK信号的产生(一) 方法二:键控法 图2-4 2FSK信号的产生(二) 键控法是利用矩形脉冲()t b来控制开关电路对两个不同的独立频率源进行选通。

1.3 FSK解调的方法 常见的FSK解调方法有两种:相干解调法与非相干解调法.现在我将对这两种解法。 1.4 设计总思路 如下图所示,我将FSK的调制与FSK的解调独立开作为两个子函数,其中FSK调制的输出即可作为FSK解调的输入信号.最后设计一主函数main将两个子函数同时调用完成整个仿真过程。 图3-1 设计总思路图 2.1 FSK调制的仿真设计 本文主要是对2FSK进行调制,而2FSK可看做是基带信号与载波频率的结合就可.FSK的产生思路参考的是键控法,如图4

图3-2 2FSK信号的产生(二) 2.2 FSK解调的仿真设计 如上图所示的FSK信号的相干检测原理图,FSK信号可以采用两个乘法检测器进行相干检测. 上图中输入信号为2FSK信号加上噪声组成 带通滤波器2的设计类似滤波器1,只是更改频率为fc2就可.

基于verilog的fsk调制与解调(呕心沥血,极度精简)

先上程序(verilog语言编写) `timescale 1ns/1ns // 测试程序 module test; reg clk1,rst1,clk2,rst2; reg din1; wire dout1,ddout1; modulator my1(.clk(clk1),.rst(rst1),.din(din1),.dout(dout1)); demodulator my2(.clk(clk2),.rst(rst2),.ddin(dout1),.ddout(ddout1)); initial begin clk1=0; forever #25 clk1=~clk1; end initial begin clk2=0; forever #10 clk2=~clk2; end initial begin rst1=1; #15 rst1=0; #50 rst1=1; end initial begin rst2=1; #5 rst2=0; #25 rst2=1; end initial begin #25 din1=1; #400 din1=1; #400 din1=0; #400 din1=1; #400 din1=0; #400 din1=1;

#400 din1=0; #400 din1=1; #400 din1=1; #400 din1=0; #400 din1=1; #400 din1=1; #400 din1=1; #400 din1=0; #400 din1=1; #400 din1=0; #400 din1=0; #400 din1=1; #400 din1=0; #400 din1=0; #400 din1=0; #400 din1=1; #400 din1=1; #400 din1=0; #400 din1=0; #400 din1=1; #400 din1=0; #400 din1=0; #400 din1=0; #400 din1=0; #400 din1=1; #1000 $stop; end endmodule module demodulator(clk,rst,ddin,ddout); //解调input clk,rst; input ddin; output ddout; reg ddout; reg [3:0]cnt3; reg temp; reg [3:0]cnt4; reg clk1; always @(posedge clk or negedge rst) begin if(!rst) cnt3<=4'b0000;

基于MATLAB的ASK调制解调实现

基于MATLAB的ASK调制解调实现

————————————————————————————————作者:————————————————————————————————日期: ?

长沙理工大学 《通信原理》课程设计报告 学院专业 班级学号 学生姓名指导教师 课程成绩完成日期2016年1月8日

课程设计成绩评定 学院专业 班级学号 学生姓名指导教师 课程成绩完成日期2016年1月8日 指导教师对学生在课程设计中的评价 评分项目优良中及格不及格课程设计中的创造性成果 学生掌握课程内容的程度 课程设计完成情况 课程设计动手能力 文字表达 学习态度 规范要求 课程设计论文的质量 指导教师对课程设计的评定意见 综合成绩指导教师签字2016年1月8日

课程设计任务书 城南学院通信工程专业 课程名称通信原理课程设计时间2015/2016学年第一学期17~19 周 学生姓名指导老师 题目基于MATLAB的ASK调制解调实现 主要内容: 利用MATLAB集成环境下的M文件,编写程序来实现ASK的调制解调, 要求采样频率为360HZ,并绘制出解调前后的时域和频域波形及叠加噪声时解 调前后的时频波形,根据运行结果和波形来分析该解调过程的正确性及信道对信 号传输的影响。 要求: 1)熟悉MATLAB中M文件的使用方法,并在掌握ASK调制解调原理 的基础上,编写出ASK调制解调程序。 2)绘制出ASK信号解调前后在时域和频域中的波形,并观察解调前后频谱有何变化以加深对ASK信号解调原理的理解。 3)对信号叠加噪声,并进行解调,绘制出解调前后信号的时频波形,改变噪声功率进行解调,分析噪声对信号传输造成的影响。 4)在老师的指导下,要求独立完成课程设计的全部内容,并按要求编写课 程设计学年论文,能正确阐述和分析设计和实验结果。 应当提交的文件: (1)课程设计学年论文。 (2)课程设计附件。

FSK调制与解调

【实验目的】 1、熟悉fsk调制与解调; 2、熟悉fpga; 3、熟悉编码与解码。 【实验原理】 信道 编码 调制 数模转换 四位一位一位一位 解码 解调 模数转换 五位一位一位 本次实验利用实验板实现了一个fsk通信系统。从按键输入一组四位码元,经过fpga编码后,形成8位码元。在这八位中,前三位固定为110,在解码时用来识别一帧的开头。最后加了一位奇偶校验。这八位在编码后,串行输出到调制部分。调制部分的调制方式是fsk调制。调制完成后,输出到数模转换部分。数模转换与模数转换部分相连,然后输出到解调部分。解调后,输出到解码部分。串行输入的码元被解码后,输出到指示灯。同时输出到指示灯的还有一位,用来指示是否接收到的信号是否有错。 【实验内容】 总框图如下: 1、调制 调制部分框图如下

RAGMO与RAGMO2是两个分频器,代码相似,只是分频数有差别。如下代码中黑体处根据系统需要更改。实际系统中,两个频率为700Hz、300Hz左右。 -- MAX+plus II VHDL Template -- Clearable loadable enablable counter LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY ragmo IS PORT ( clk_input : IN STD_LOGIC; output : BUFFER STD_LOGIC ); END ragmo; ARCHITECTURE a OF ragmo IS SIGNAL hgame : INTEGER RANGE 0 TO 1023; BEGIN PROCESS (clk_input) BEGIN IF (clk_input'EVENT AND clk_input='1') THEN hgame <= hgame + 1; IF hgame = 1023 THEN output <= NOT output;

AM调制解调及matlab仿真程序和图

(1)所用滤波器函数:巴特沃斯滤波器 % 注: wp(或Wp)为通带截止频率 ws(或Ws)为阻带截止频率 Rp为通带衰减 As为阻带衰减 %butterworth低通滤波器原型设计函数要求Ws>Wp>0 As>Rp>0 function [b,a]=afd_butt(Wp,Ws,Rp,As) N=ceil((log10((10^(Rp/10)-1)/(10^(As/10)-1)))/(2*log10(Wp/Ws))); %上条语句为求滤波器阶数 N为整数 %ceil 朝正无穷大方向取整 fprintf('\n Butterworth Filter Order=%2.0f\n',N) OmegaC=Wp/((10^(Rp/10)-1)^(1/(2*N))) %求对应于N的3db截止频率 [b,a]=u_buttap(N,OmegaC); (2)傅里叶变换函数 function [Xk]=dft(xn,N) n=[0:1:N-1]; k=[0:1:N-1]; WN=exp(-j*2*pi/N); nk=n'*k; WNnk=WN.^(nk); Xk=xn*WNnk; 设计部分: 1.普通AM调制与解调 %单音普通调幅波调制y=amod(x,t,fs,t0,fc,Vm0,ma)要求fs>2fc %x调制信号,t调制信号自变量,t0采样区间,fs采样频率, %fc载波频率,Vm0输出载波电压振幅,ma调幅度 t0=0.1;fs=12000; fc=1000;Vm0=2.5;ma=0.25; n=-t0/2:1/fs:t0/2; x=4*cos(150*pi*n); %调制信号 y2=Vm0*cos(2*pi*fc*n); %载波信号figure(1) subplot(2,1,1);plot(n,y2); axis([-0.01,0.01,-5,5]); title('载波信号'); N=length(x); Y2=fft(y2); subplot(2,1,2); plot(n,Y2); title('载波信号频谱'); %画出频谱波形y=Vm0*(1+ma*x/Vm0).*cos(2*pi*fc*n); figure(2) subplot(2,1,1);plot(n,x) title('调制信号'); subplot(2,1,2) plot(n,y) title('已调波信号'); X=fft(x);Y=fft(y);

FSK的调制与解调的分析

学年论文目录 1、引言 (2) 2、FSK在硬件实验下的分析 (3) 3、FSK在matlab环境下的分析 (7) 4、教学中的应用 (11) 5、总结 (12) 参考文献 (13) 英文摘要 (14)

FSK的调制与解调的分析 包满都拉(学号:200612306) (物理与电子信息学院 04级电子信息工程班,内蒙古呼和浩特 010022) 指导教师:李红岩 摘要:本文是基于matlab环境下对信号的调制与解调和误码率的分析,以及硬件实验与理论仿真实验的比较。方法是通过matlab软件进行数学建模软件编程使模拟仿真成功,而硬件实验是利用现有实验设备进行实验分析。根据二者在各个方面不同的特点,取长补短应用于教学之中。 关键词: Matlab;环境;调制;解调;分析 中图分类号: TN91 文献标识码: B 1引言 MATLAB是由MATH WORKS公司于1984年推出的一种面向科学与工程的计算软件,通过MATLAB和相关工具箱,工程师、科研人员、数学家和教育工作者可以在统一的平台下完成相应的科学计算工作。 MATLAB 本身包含了 600 余个用于数学计算、统计和工程处理的函数,这样,就可以迅速完成科学计算任务而不必进行额外的开发。业内领先的工具箱算法极大的扩展了MATLAB 的应用领域,所以MATLAB自推出以来就受到广泛的关注。 MATLAB特点: 一,数值计算功能,在MATLAB中,每个数值元素都视为复数,而且只有双精度(64位)一种数据格式,省去多种的设置,虽然在运行速度和内存消耗方面付出了代价,却使MATLAB的编程大大简化。MATLAB的数值计算基本功能包括:矩阵运算、多项式和有理分式计算、数据统计分析以及数值分析等。二,符号计算功能,在实际应用中,除了数值计算外,还需要得到方程的解析解,简化和展开多项式和函数表达,求解函数值等,所有这些均属于符号计算的领域。三,便栈式的编程语言,与Fortran和C等高级语言相比,MATLAB的语法规则更简单,更贴近人的思维方式和表达习惯,使得编写程序就像在便栈上列写公式和演算一样。四,强大而简易的作图功能,能根据输入数据自动确定坐标绘图。五,高智能化,绘图时自动选择最佳坐标,大大方便了用户。自动检测

完整word版,msk的调制解调MATLAB源代码

msk的调制解调MATLAB源代码 function out = delay(data,n,sample_number) %data:延迟的数据 %n:延迟码元个数 %sample_number:码元采样个数 out = zeros(1,length(data)); out(n*sample_number+1:length(data)) = data(1:length(data)-n*sample_number); function [data_diff] = difference(data) %差分编码 %************************************************************************* * %data 输入信号 %data_diff 差分编码后信号 %************************************************************************* *

%-------------------------------------------------------------------------- data_diff = zeros(1,length(data)); data_diff(1) = 1 * data(1); %1为差分编码的初始参考值 for i = 2:length(data) data_diff(i) = data_diff(i-1) * data(i); end %************************************************************************* * function [signal_out,I_out,Q_out] = mod_msk(data,data_len,sample_number,Rb) %MSK基带调制 %************************************************************************* * % data 调制信号 % data_len 码元个数 % sample_number 每个码元采样点数

16QAM调制解调(MATLAB)

题目: 基于MATLAB 的16QAM 及32QAM 系统的仿真 原理: QAM 是一种矢量调制,将输入比特映射到一个复平面,形成复数调制信号,然后将I 信号和Q 信号(实部虚部)分量采用幅度调制,分 别对应调制在相互正交的两个载波(cos t ω,sin t ω)上。下图为MQAM 的调制原理图。 MQAM 的信号表达式: ()()( )cos sin 1,2,...,, 0C S C S i i T C i T C S i i s t a g t t a g t t i M t T a a ωω=-=≤≤与 上述表达式可以看出,QAM 为两个正交载波振幅相位调制的结合。波形矢量可以表示为: ()()()11221,2,...,, 0i i i S s t s f t s f t i M t T =+=≤≤

( )()( )()()()()()12110 220 cos ,0sin ,01,2,...,1,2,...,S S T C S T C S T i i T i i f t t t t T f t t t t T s s t f t dt i M s s t f t dt i M ωω=≤≤= ≤≤====?? MQAM 信号最佳接收: 实验仿真条件: 码元数量设定为10000个,基带信号频率1HZ ,抽样频率32HZ ,载波频率4HZ 。 实验结果分析:

对于QAM ,可以看成是由两个相互正交且独立的多电平ASK 信号叠加而成。因此,利用多电平误码率的分析方法,可得到M 进制QAM 的误码率为: ])(1l o g 3[)1 1(0 22n E L L e r f c L P b e -- = 式中,M L =,Eb 为每码元能量,n 0为噪声单边功率谱密度。 通过调整高斯白噪声信道的信噪比SNR (Eb/No ),可以得到如图所示的误码率图: -1-0.50 0.51 1.52 2.5 10 -3 10 -2 10 -1 10 QAM 信号误码率分析 信噪比 误码率

FSK调制解调实验

实验报告册课程:通信系统原理教程 实验:FSK调制解调实验 班级: 姓名: 学号: 指导老师: 日期:

实验四:FSK 调制解调实验 一、实验目的: 1、了解对FSK 信号调制解调原理; 2、根据其原理设计出2FSK 信号的调制解调电路,在对电路进行仿真,观察 其波形,从而检验设计出的调制解调器是否符合要求。 二、实验原理: 2FSK 信号调制: 又称数字调频,它是用两种不同的载频1ω ,2ω来代表脉冲调制信号1 和0,而载波的振幅和相位不变。如果载波信号采用正弦型波,则FSK 信号可表示为: 2FSK 信号()t S 分解为信号()t S 1与()t S 2之和,则有:()()()t S t S t S 21+= 其中:()()()t U t S m 11cos ω=,代表数字码元“1” ()()()t U t S m 22cos ω=,代表数字码元“0” 2FSK 信号调制器模型如下图: 如上图,两个独立的振荡器产生不同频率的载波信号,当输入基带信号()1=t S 时,调制器输出频率为f1的载波信号,当()0=t S 时,反相器的输出()t S 调制器输出频率为f2的载波信号。f1和f2都取码元速率的整数倍。 2FSK 信号的带宽为:B f f B FSK 221+-= 其中:f 1为对应脉冲调制信号1的载波频率;f 2为对应脉冲调制信号0的载波频率。 2FSK 信号解调: 是调试的相反过程。由于移频键控调制是将脉冲调制信号“1”用FSK 信号()t S 1,而“0”用()t S 2表示,那么在接收端,可从FSK 信号中恢复出其基带信号。本设计采用了普通鉴频法进行解调,将()t S 1恢复成码元1,把()t S 2恢复成码元0 。 2FSK 信号的解调可以采用相干解调,也可以采用包络解调。 实验中采用相干解调,解调器模型如下图: ) 2 2cos(2)(2t f b T t πφ= 号 号调制器

基于MATLAB的ASK调制解调实现

长沙理工大学 《通信原理》课程设计报告 学院专业 班级学号 学生姓名指导教师 课程成绩完成日期2016年1月8日

课程设计成绩评定 学院专业 班级学号 学生姓名指导教师 课程成绩完成日期2016年1月8日指导教师对学生在课程设计中的评价 指导教师对课程设计的评定意见

课程设计任务书 城南学院通信工程专业

基于MATLAB的ASK调制解调实现 学生姓名:指导老师: 摘要MATLAB是美国MathWorks公司生产的一个为科学和工程计算专门设计的交互式大型软件,本课程设计主要内容是利用MATLAB集成环境下的M文件,编写程序来实现ASK的调制解调,要求采样频率为360HZ,并绘制出解调前后的时域和频域波形及叠加噪声时解调前后的时频波形,根据运行结果和波形来分析该解调过程的正确性及信道对信号传输的影响。目的是熟悉MATLAB中M文件的使用方法,并在掌握ASK 调制解调原理的基础上,编写出2ASK调制解调程序,绘制出ASK信号解调前后在时域和频域中的波形,观察解调前后频谱有何变化以及对信号叠加噪声后的变化。最终得到随着输入信号噪声的增加增大,误码越严重的结论,加深对ASK信号解调原理的理解。 关键词ASK调制解调;时域谱;频域谱;高斯白噪声;信噪比 1 引言 通信原理是通信工程专业的一门重要的专业课,是通信工程专业后续专业课的基础,掌握通信原理课程的知识不仅可以打下一个坚实的专业基础,还能提高处理通信系统问题能力和素质。通过本课程设计的ASK振幅键控调制解调,可以进一步理解数字通信的基础理论,有助于加深对通信原理的理解。 1.1课程设计目的 通过设计基于MATLAB的ASK调制解调实现,让我深入理解和掌握二进制ASK 调制解调以及噪声对信号传输的影响[1]。 在通信原理理论知识的基础上加深对ASK调制解调设计原理及实现方法的理解。使我对通信信号波形及频谱有深刻的认识。不仅加强了对课本知识的了解,而且还涉及到了MATLAB编程语言和软件的使用,以及基本的操作常识[2]。 掌握调制解调函数的应用,增强了我动手实践的能力。

FSK信号调制与解调技术

1 引言 1。1 研究的背景与意义 现代社会中人们对于通信设备的使用要求越来越高,随着无线通信技术的不断发展,人们所要处理的各种信息量呈爆炸式地增长.传统的通信信号处理是基于冯·诺依曼计算 机的串行处理方式,利用传统的冯·诺依曼式计算机来进行海量信息处理的话,以现有的 技术,是不可能在短时间内完成的。而具于并行结构的信息处理方式为提高信息的处理速度提供了一个新的解决思路。 随着人们对于通信的要求不断提高,应用领域的不断拓展,通信带宽显得越来越紧张。人们想了很多方法,来使有限的带宽能尽可能的携带更多的信息。但这样做会出现一个问题,即:信号调制阶数的增加可以提升传送时所携带的信息量,但在解调时其误码 率也相应显著地提高。信息量不断增加的结果可能是,解调器很难去解调出本身所传递的信息。如果在提高信息携带量的同时,能够找到一种合适的解调方式,将解调的误码率控制在允许的范围内,同时又不需要恢复原始载波信号,从而降低解调系统的复杂程度, 那将是很好的。 通信技术在不断地发展,在现今的无线、有线信道中,有很多信号在同时进行着传递,相互之间都会有干扰,而强干扰信号也可能来自于其它媒介。在军事领域,抗干扰技术的研究就更为必要。我们需要通信设备在强干扰地环境下进行正常的通信工作. 目前常用的通信调制方法有很多种,如FSK、QPSK、QAM等.在实际的通信工程中,不同的调制制式由于自身的特点而应用于不同场合,而通信中不同的调制、解调制式就构成了不同的系统.如果按照常规的方法,每产生一种信号就需要一个硬件电路,甚至一个模块,那么要使一部发射机产生几种、几十种不同制式的通信信号,其电路就会异常复杂,体积重量都会很大.而在接收机部分,情况也同样是如此,即对某种特定的调制信号,必须有一个特定的对应模块电路来对该信号进行解调工作。如果发射端所发射的信号调制方式发生改变,这一解调模块就无能为力了.实际上,随着通信技术的进步和发展,现 代社会对于通信技术的要求越来越高,比如要求通信系统具有最低的成本、最高的效率,以及跨平台工作的特性,如PDA、电脑、手机使用时所要求的通用性、互连性等。怎样对多种类型的信号进行智能化处理,而又不增加电路的成本、处理速度以及体积重量等,是我们目前正面临的问题。

MATLAB QPSK调制与解调

实验名称:QPSK调制与解调 一、实验目的: 1、学会QPSK调制与解调系统的构成 2、学会QPSK调制与解调系统的各模块的构建 3、学会误码率与误符号率的统计方法以及Matlab算法 二、实验原理: 1、QPSK:四进制绝对相移键控,也称为多进制数字相位调制,利用载波的四种不同相位状态来表征数字信息的调制方式。 2、QPSK的调制方法有正交调制方式(双路二相调制合成法或直接调相法)、相位选择法、插入脉冲法。 调制与解调系统的构成: 3、各模块的实现方法:

(1)、信源的产生:使用randint(m,n,2) 函数产生一个m 行n 列的随机二进制数列 (2)、QPSK 符号映射 :将产生的0,1比特流按照QPSK 调制方式进行映射,本实验采用π/4 QPSK 的调制方式,图为: (3)、AWGN 信号产生:AWGN 产生器就是产生满足均值为0,方差为1的高斯白噪声。实验中使用randn(m,n)函数产生一个m 行n 列的高斯噪声序列。 (4)、信号幅度控制:根据AWGN 信道模型,接收信号可以分别表示为 α就是当噪声功率归一化为1(0均值,方差为1)时,根据信噪比关系而计算出来的信号平均幅度 I I I r s n α=+Q Q Q r s n α=+22210log 10^10s s n n v SNR SNR v sqrt v v ??????=?=* ? ? ???????

(5)、QPSK 反映射及判决 :对接收到的信号在4种可能的四种信号向量[(1,0), (0,1), (-1,0), (0,-1)]上投影(即进行点积)。投影最大的值所对应的信号向量就是所发送信号的符号值,然后恢复出比特流 (6)、误码率及误符号率统计: 误码率:将检测出来的比特流和发送的原始比特流进行比较,统计出出现错误的比特数 误符号率:将检测出来的比特流变成两组,构成符号,和发送端符号映射后的符号流进行比较,只要符号中任错一bit ,就算该符号出错。统计出现错误的符号数 三、 实验内容: 1、建立QPSK 的Matlab 仿真模型 2、对仿真模型中各个组成部分进行函数设计和功能仿真 randn('seed',10);mark=randn(1,LENGTH); subplot(2,2,1);plot(mark);title('watermarc:Gaussian noise'); 3、成型滤波器的设计 4、带限信道中的QPSK 调制解调 四、实验步骤: 1、开机,设置好本次仿真目录 2、进入matlab 环境,设置工作路径和目录 3、按照实验方法,一步步进行QPSK 各个模块的设计 s v α=

FSK调制解调原理

FSK频移键控调制解调原理 FSK(Frequency-shift keying)的简介 FSK(Frequency-shift keying)是信息传输中使用得较早的一种调制方式,它的主要优点是: 实现起来较容易,抗噪声与抗衰减的性能较好。在中低速数据传输中得到了广泛的应用。 最常见的是用两个频率承载二进制1和0的双频FSK系统。 技术上的FSK有两个分类,非相干和相干的FSK 。在非相干的FSK ,瞬时频率之间的转移是两个分立的价值观命名为马克和空间频率,分别为。在另一方面,在相干频移键控或二进制的FSK ,是没有间断期在输出信号。 在数字化时代,电脑通信在数据线路(电话线、网络电缆、光纤或者无线媒介)上进行传输,就是用FSK调制信号进行的,即把二进制数据转换成FSK信号传输,反过来又将接收到的FSK信号解调成二进制数据,并将其转换为用高,低电平所表示的二进制语言,这是计算机能够直接识别的语言。 FSK 调制 在二进制频移键控中,幅度恒定不变的载波信号的频率随着输入码流的变化而切换(称为高音和低音,代表二进制的1 和0)。 非连续相位FSK的调制方式 产生FSK 信号最简单的方法是根据输入的数据比特是0还是1,在两个独立的振荡器中切换。采用这种方法产生的波形在切换的时刻相位是不连续的,因此这种FSK 信号称为不连续FSK 信号。 由于相位的不连续会造频谱扩展,这种FSK 的调制方式在传统的通信设备中采用较多。随着数字处理技术的不断发展,越来越多地采用连继相位FSK调制技术。

连续相位FSK的调制信号 目前较常用产生FSK 信号的方法是,首先产生FSK 基带信号,利用基带信号对单一载波振荡器进行频率调制。 相位连续的FSK信号的功率谱密度函数最终按照频率偏移的负四次幂衰落。如果相位不连续,功率谱密度函数按照频率偏移的负二次幂衰落。 FSK信号频谱 在通信原理综合实验系统中,FSK 的调制方案如下: FSK 信号:S(t)=cos(ω0t+2πfi·t) 在通信信道FSK 模式的基带信号中传号采用fH 频率,空号采用fL 频率。在FSK 模式下,不采用汉明纠错编译码技术。调制器提供的数据源有: FSK正交调制器结构 1、外部数据输入:可来自同步数据接口、异步数据接口和m序列; 2、全1码:可测试传号时的发送频率(高); 3、全0码:可测试空号时的发送频率(低); 4、0/1 码:0101..交替码型,用作一般测试; 5、特殊码序列:周期为7的码序列,以便于常规示波器进行观察; 6、m序列:用于对通道性能进行测试; FSK调制器带处理结构 FSK 解调

基于MATLAB的ASK调制解调实现

理工大学 《通信原理》课程设计报告 学院专业 班级学号 学生指导教师 课程成绩完成日期2016年1月8日

课程设计成绩评定 学院专业 班级学号 学生指导教师 课程成绩完成日期2016年1月8日指导教师对学生在课程设计中的评价 指导教师对课程设计的评定意见

课程设计任务书 城南学院通信工程专业

基于MATLAB的ASK调制解调实现 学生:指导老师: 摘要MATLAB是美国MathWorks公司生产的一个为科学和工程计算专门设计的交互式大型软件,本课程设计主要容是利用MATLAB集成环境下的M文件,编写程序来实现ASK的调制解调,要求采样频率为360HZ,并绘制出解调前后的时域和频域波形及叠加噪声时解调前后的时频波形,根据运行结果和波形来分析该解调过程的正确性及信道对信号传输的影响。目的是熟悉MATLAB中M文件的使用方法,并在掌握ASK调制解调原理的基础上,编写出2ASK调制解调程序,绘制出ASK信号解调前后在时域和频域中的波形,观察解调前后频谱有何变化以及对信号叠加噪声后的变化。最终得到随着输入信号噪声的增加增大,误码越严重的结论,加深对ASK信号解调原理的理解。 关键词ASK调制解调;时域谱;频域谱;高斯白噪声;信噪比 1 引言 通信原理是通信工程专业的一门重要的专业课,是通信工程专业后续专业课的基础,掌握通信原理课程的知识不仅可以打下一个坚实的专业基础,还能提高处理通信系统问题能力和素质。通过本课程设计的ASK振幅键控调制解调,可以进一步理解数字通信的基础理论,有助于加深对通信原理的理解。 1.1课程设计目的

通过设计基于MATLAB的ASK调制解调实现,让我深入理解和掌握二进制ASK调制解调以及噪声对信号传输的影响[1]。 在通信原理理论知识的基础上加深对ASK调制解调设计原理及实现方法的理解。使我对通信信号波形及频谱有深刻的认识。不仅加强了对课本知识的了解,而且还涉及到了MATLAB编程语言和软件的使用,以及基本的操作常识[2]。 掌握调制解调函数的应用,增强了我动手实践的能力。 1.2课程设计要求 根据所给的题目,查阅有关资料,掌握数字带通调制技术以及通信原理。 学会MATLAB中M文件的编写方法,能应用MATLAB软件中调制解调函数,掌握ASK调制解调原理,根据原理编写出ASK调制解调程序。 绘制出ASK信号解调前后在时域和频域中的波形,观察解调前后频谱的变化理解ASK信号解调原理。 对二进制基带信号叠加噪声后解调,绘制出解调前后信号的时频波形,然后改变噪声功率进行解调,记录并分析分析噪声对信号传输造成的影响。 根据要求独立完成课程设计学年论文,能正确阐述和分析设计结果并得出结论。 1.3课程设计步骤 产生数字基带信号并绘制时域谱和频域谱; 设置载波频率并绘制其时域谱和频域谱; 对信号进行数字调制并绘制时域谱和频域谱; 对已调信号进行解调并绘制时域谱和频域谱; 对已调信号加入高斯小噪声并绘制时域谱和频域谱;

FSK 调制与解调 通信报告

实验九FSK调制解调原理实验 一、实验目的 1、掌握FSK调制的工作原理及电路组成; 2、掌握锁相解调FSK的原理和实现方法。 二、实验电路工作原理 D/A A/D 模 拟 开 关 FSK解 调 (4046 锁相环 解调) 数字基带信号入相 加 器 整 形 出 128K方波 64K方波 FSK调制 输出 32K选频输出时钟图9-1 FSK调制解调电原理框图 数字频率调制是数据通信中使用较早的一种通信方式。由于这种调制解调方式容易实现,抗噪声和抗群时延性能较强,因此在无线中低速数据传输通信系统中得到了较为广泛的应用。数字调频又可称作移频键控(FSK),它是利用载频频率变化来传递数字信息。 (一)FSK调制电路工作原理 FSK调制解调电原理框图,如图9-1所示;图9-2是它的调制电路电原理图。输入的基带信号分成两路,一路控制f1=64KHz的载频,另一路经倒相去控制f2=128KHz的载频。当基带信号为“1”时,模拟开关1打开,模拟开关2关闭,此时输出f1=64KHz,当基带信号为“0”时,模拟开关1关闭,模拟开关2开通。此时输出f2=128KHz,于是可在输出端得到已调的FSK信号。

图9-2 FSK调制电路电原理图 图9-3 FSK解调电路电原理图

(二)FSK 解调电路工作原理 FSK 集成电路模拟锁相环解调器由于性能优越,价格低廉,体积小,所以得到了越来越广泛的应用。解调电路电原理图如图9-3所示。FSK 集成电路模拟锁相环解调器的工作原理是十分简单的,只要在设计锁相环时,使它锁定在FSK 的一个载频如f1上,对应输出高电平,而对另一载频f2失锁,对应输出低电平,那末在锁相环路滤波器输出端就可以得到解调的基带信号序列。 FSK 锁相环解调器中的集成锁相环选用了HEF4046。 压控振荡器的中心频率设计在128KHz 。其参数选择要满足环路性能指标的要求。从要求环路能快速捕捉、迅速锁定来看,低通滤波器的通带要宽些;从提高环路的跟踪特性来看,低通滤波器的通带又要窄些。因此电路设计应在满足捕捉时间前提下,尽量减小环路低通滤波器的带宽。 当输入信号为64KHz 时,环路失锁。此时环路对64KHz 载频的跟踪破坏。 可见,环路对128KHz 载频锁定时输出高电平,对64KHz 载频失锁时就输出低电平。只要适当选择环路参数,使它对128KHz 锁定,对64KHz 失锁,则在解调器输出端就得到解调输出的基带信号序列。关于FSK 频移键控原理波形见图9-4所示。 v v v v SP701SP702SP705FSK SP707SP708 对“1”调制对“0”调制 图9-4 FSK 频移键控原理波形图 三、实验步骤 1、按下实验箱右测电源开关,电源指示灯亮。按动带锁开关使L2(红灯)点亮表示系统正常工作。 2、SP103接SP701表示128KHz 方波输入;SP104接SP702表示64KHz 方波输入,SP110接SP705表示PN2K 基带信号输入。 3、电位器调节: W702:调节64KHz 载频幅度大小。 W701:调节128KHz 载频幅度大小。 W703:调节FSK 已调信号幅度大小。 W704:调节解调电路压控振荡器时钟的中心频率(调节不当会导致还原形失真)。

相关文档