文档库 最新最全的文档下载
当前位置:文档库 › 简易逻辑分析仪报告

简易逻辑分析仪报告

简易逻辑分析仪报告
简易逻辑分析仪报告

简易逻辑分析仪

摘要

本系统是由单片机作为主控制器、可编程器件作为辅助控制单元来实现数字信号产生、逻辑信号采集和示波器显示。

由单片机为核心的信号发生器,实现了大范围可控频率、预设码型的信号输出;数据采集模块的输入电路中的程控迟滞比较器,提高了输入信道的抗干扰能力。可编程器件高密度特点在本系统中的应用,大大减少了外围器件,增强了系统的可靠性。带有LCD显示模块为用户控制提供友好的人机界面,实现了设置掉电保护功能,并支持鼠标操作和图形打印。

关键词逻辑分析仪单片机可编程器件程控迟滞比较器一、方案论证及选择

方案一:

利用普通的74系列移位计数器构成数字信号发生器,纯单片机方式实现逻辑分析仪。

图 1-1 方案一结构框图

如图1-1所示,数字信号发生器部分,利用74系列的移位计数器的基本功能,通过拨码开关向置数端预置循环序列,通过TTL驱动输出数字信号。逻辑分析仪部分的门限电压由电位器控制。这种方法单片机除了完成基本的数据分析外,还需要完成对逻辑数据的采集、存储、显示等大量控制工作。方案二:

由单片机产生数字信号序列,由另外两片单片机构成逻辑分析仪。

图 1-2 方案二结构框图

如图1-2所示,相比方案一在信号产生上方案二采用了单片机方案,数码管显示循环序列码状态,本方案用软件可以实现不同频率、更加复杂数字信号的输出。在逻辑分析仪部分,部分的特点是双单片机结构,二者通过串口通信,下位机单片机3只负责显示,上位机单片机2通过D/A输出程控的门限电平。本方案解决了显示与数据采集处理不能同时工作的矛盾,

方案三

利用FPGA/CPLD的高速特点,实现系统并行工作,这是本方案相比于方案二的特色之一。用可编程器件可以高速完成单一功能模块。FPGA/CPLD 的使用弥补了单片机在高速采集和实时显示的弱点,使整个系统的处理能力远超过当前微控制器的水平,这使设计十分具有发挥的空间。而且通过合理地划分软硬件的工作量,将使软件控制和软件编写变得容易。

图1-3 方案三结构框图

如图1-3所示:系统分为四大部分:数字信号发生模块、主控制器、逻辑采集模块、显示控制模块。硬件设计上包含两块单片机、一块FPGA、一块FPGA,其中单片机1与TTL驱动级组成的是数字信号产生模块;单片机2为逻辑分析仪的主控制器,FPGA(带数据RAM)在单片机2的控制下完成触发控制、数据采集,并支持与主控制器的数据回读,它们构成了数据采集模块;FPGA在单片机2的控制下完成示波器自动扫描控制,它们构成了显示控制模块,主控制器将符合X-Y-Z扫描格式的数据写入缓存,FPGA2将自动地、并行地工作,其间不需主控制器的管理,直至显存被更新为止。

FPGA/CPLD的采用为主控制器赢得了充足的系统管理时间,我们为系统设计了掉电设定保护、信息打印,并使系统支持LCD、鼠标等设备。

方案一的优点是构成的系统规模较小,成本较低。但是受到单片机本身

速度的限制,它不能适应显示的实时性和高速数字信号采集的要求,不利于对系统功能和指标的发挥。方案二,主体由软件构成,编程量大,尽管实现实时显示,可是仍受单片机速度的限制,不能适应高速应用的场合。方案三利用了FPGA/CPLD的系统加速方案,容易达到发挥部分的要求,而且还具有一定的优化和扩展余地,我们将软硬件的工作量进行了合理的划分,可以确保作品在规定的时间内高质量完成。综合上面方案的优缺点分析,我们决定采用方案三作为我们最终实现方案。

二、理论分析与参数计算

1、数字信号发生器

(1)通道数:8路

(2)最大模值:32

(3)频率可程控范围:10Hz--10KHz

2、逻辑分析仪

(1)通道数:8路

数字电路中8线制标准普遍存在,我们的设计的就是8通道信号产生和采集系统,这满足题目基本和发挥部分的要求。

(2)存储深度:1024bit

题目的基本要求,水平分辨率scr

bit/表示比特每

。其中,scr

32

bit

HD/

屏。设计了分页显示设计32个独立页面,单通道存储深度要扩展为

bit scr scr bit M 102432/32=?=。

(3)采样率: KHz 10

我们设计的系统可以对内时钟和外时钟进行选择,内部时钟KHz f in 10=。 内时钟与时间分辨力的指标在数值上是相等的。对内时钟采样的情况,存储、显示的数据序号与时间成正比,对于固定的存储深度可以存储的时间也是固定的,ms f M T in M 100000

,101000

===

。 式(2-2) (4)触发控制

回读数据中的触发点在存储深度中的位置只与延迟计数的模值有关,单片机可以通过程控延迟计数器的模值M ,来达到控制触发位置在存储深度中任意可调的目的,延迟计数次数D N 与触发位置在数值上是相等的,即

D N L =+ 式(2-2)

式中,+L 表示回读数据中触发位置距离正向最末一点的相对位置。对于

bit 1000的存储深度,延迟计数模值范围]1000,0[∈M 。

为了保证桶形存储器中没有历史数据残余,可以使用这里的一种 算法:单片机要发送的延迟值为d N ,必须使延迟计数器在使能之前预先延迟

d p N t -=1000 式(2-3)

这段时间可以通过软件设置来强制延迟。 (5)显示分辨率 40 ? 32

由于显示的线有垂直的线,因此我们没有采用平时显示曲线的xy 方式而采用了xyz 方式。而xyz 方式显示占用空间时间都大,并且频率低的时候不容易观察,显示不稳定,而显示的数据的信息量比较小,仅有8个通

道每一个通道又仅仅包含0-1电平,因此我们用用四个象素点来区别0-1电平,利用1个象素点来区别通道。最小一个周期显示4个点,最多的时候显示8个周期需要32个点。因此我们尽量的提高效率的情况下我们采用了40 ×32 的分辨率。这样使得刷新频率在示波器上限频率不变的情况下可以提高。

如果需要看的效果更好一点的话可以看我们为更高级用户设计的同步显示的液晶上的波形。

(6)时基扩展

我们用过采样的方法,对采集回的数据采样率

f与扫描速度S档位设定

0s

KSa/

10的采样率,将题目基本要求指标进行了较大幅度的提高,将扫s

描速度最低100ms按1,2,5分档。

平移显示缓存在内存中的首址并刷新缓存,执行显示程序可以实现时基的平移,此时可以看见屏幕边缘的波形的前驱或者后继波形。我们最多可以显示的不重叠的波形的页数为1000除以32 等于31页

三、电路设计与实现

1 序列信号产生器的实现

序列形式由用户任意可编程的,频率产生的范围是KHz

10,输出

~

Hz10

的频率有两种形式:一是固定100Hz的频率输出,它是为了满足题目基本要求而设计的;另一个可以由用户编辑在设计范围的预置频率输出。

产生数字序列的原理是将用户输入的数字序列放入一块内存空间,软件按地址自增的方式将序列送出,如图3-1要产生题目示例中的波形只要编辑

图右方的序列,依次将序列按照程控的频率KHz Hz 1~10送出之后,就形成了频率可控的循环移位序列,同理按照用户的编辑可以产生用户编辑的任意序列。

为了实现同步时钟的输出可以按照用户编辑的形式通过两片锁存器同步输出。电路的实现比较简单,如图3-2所示只要用锁存器将当前单片机读出的存储器的值锁存即可。为了实现同步时钟(外部时钟)输出,我们采用两次锁存的方法实现时钟的同步输出:首先向地址8000H ,传送1个BYTE 的数据,将当前的内存地址中的数据字,锁存到1U 中;锁存器2U 、

3U 共用片选信号,当再次发送同步时钟,同步时钟将被锁存到锁存器1U 的输出端Q 1,上一次锁存到1U 中的数据字将同时锁存到2U 端,从而实现了同步。输出时经过一级24574LS 缓冲器将CMOS 电平驱动为TTL 。

图3-2 数字信号同步输出电路图

2 逻辑输入电路设计

输入电路的核心是比较电路,即将输入信号与设定门限电平相比较,

当输入信号

U的幅度超过门限电平时,比较器输出为低。为了消除叠加in

噪声,设计时引入正反馈,进行迟滞比较、可以消除噪声干扰的影响。

本系统采用LM339实现比较器功能。LM339对比较信号源的内

阻限制不大,共模范围宽,差动输入可以等于电源电压。它可以满足输

入电路对输入阻抗Ω

50的要求,另外与D/A配合完成对门限电压16级

≥K

程控变化。

图3-3 迟滞比较电路形式及输入输出关系

比较器的反馈到同向端电压,f

f

ref O R R R V R V V ++=

111 式(3-1)

如图3-3按照正反馈电路跳变的临界状态,求出迟滞电平: 临界状态:高电压翻转时 H r e f V V V =-+?)1(5ββ 式(3-2)

与低电压翻转时 L r e f V V V =-+?)1(0ββ 式(3-3)

β为正反馈系数,ref V 为D/A 输出的基准电压,H V 为高迟滞电压、L

V 为低迟滞电压。可见,H V 和L V 都是在原来初值上叠加D/A 的步进精度。

由式(3-2)与式(3-3)可知,β?=-=V V V U L H W 5

电路不仅满足V 25.0的步进要求,而且还要使L H V V -小于两个档位之间的差值。我们将L V 置于每两档之间,可以满足上面的要求取V U W 125.0=

所以,正反馈系数取40

1

=

β

图3-4 单通道迟滞比较电路

实际采用的如图3-4所示,其中3R 为输出的上拉电阻,反馈系数由电阻分压而得,2R 取为ΩK 390,4R 取为ΩK 10时,40

1

=

β 。 D/A 的模拟量的初值为V V V V ref 128.039

40

125.01125.0=?=-=

β,D/A 步进精度为V 256.0,这样形成的门限电压的步进值与A D /输出模拟量的关系如表3-1中所示。

图3-5 迟滞比较器仿真电路

图3-5为Multisim 仿真电路图:用电源模拟了当前D/A 的输出0.122V , 图3-6给出的示波器的波形记录,从放大后的波形上看V V H 25.0=、

V V L 125.0=验证了我们的理论计算的正确性。另外15级的输入输出经过

仿真也与理论值十分接近。

图3-6 迟滞比较器测试电压波形仿真结果

本系统共有8路独立的数字信号输入,另外有1路同步时钟,各路均通过上面的电路进行迟滞比较,再接入到FPGA 采集模块。电路原理

见附录图7-4。

3 数据采集模块的PLD设计

FPGA器件采用的是Altera公司的可编程器件EP1K50。EP1K50是一种高密度,高性能的FPGA,有2880个宏单元,249个I/O引脚,可以满足逻辑数量的要求。利用支持在系统编程(ISP),用较短的时间从硬件上实现了复杂的控制逻辑,减少了软件的编写量,加快了系统设计的速度。

图 3-6 采集模块与单片机的接口模块

图 3-7采集模块的顶层文件

采集模块由接口模块和采集控制模块组成。

如图 3-6,接口模块由一个8

3 译码器、DFFE和一个读信号组合逻辑构成,它的主要作用是形成FPGA内部模块的地址,供单片机访问和控制内部模块使用。

A000十六位分频器寄存器的低八位

H

A001十六位分频器寄存器的高八位

H

A002十六位延迟计数器寄存器的低八位

H

A003十六位延迟计数器寄存器的高八位

H

A004外部读模式与内部写模式选择

H

A007内外时钟选择

A006捕获开始H

A005触发使能H

H

图3-8 数据采集仿真时序

图3-8是采集控制模块的工作时序仿真,其中信号为单片机置FPGA的工作模式,在给图中可以看出在工作模式下(rwslt=0)当输出低脉冲后,开始数据捕捉;从该时刻到trigen变高,是软件强制延迟的时间;trigen 变高后允许触发,无论是内触发还是外触发在第一个触发信号来临后如果上位机没有把rwslt变低的话,触发后延时模块开始计时,当触发后采集的个数达到预定的值的时候FPGA把dly_sta置高,把端口子地址7(实际中的端口地址0x0A07地址)的d0位置高并且停止地址计数。上位机可以用dly_sta 的上升沿触发中断,或者循环查询看是否触发并采集结束。变低后,该模块处于和外部通信状态,可以从最低端端口依次读出RAM中的数据,读完整个内存后刚好地址回到初始值。

4 示波器显示控制电路

图3-9 扫描控制设计

示波器显示控制电路通信接口(Interface)的结构与采集模块的接口原理相同,它对总线译码形成了几个访问地址:

端口地址:H

A001写显存地址

端口地址:H

A002内部功能模块的公用清零端

端口地址:H

A003外部写模式与内部读模式选择

FPGA时序仿真验证:

(1)当执行指令MOV A003H,#00H 时,读写控制器状态为外部写模式,如图3-10所示,执行完该指令后,如若再执行MOV A000H,#??H 则执行写显存操作(地址增量方式)。

(2)当执行指令MOV A003H,#01H时,读写控制器状态为内部读模式,执行完该指令后,等读地址计数器循环复位后即取得同步,它将

完成以下操作:

○1选通双通道A

D/转换器的地址,水平扫描阶梯电压输出时,选通水平

通道地址;垂直扫描阶梯电压输出时,选通垂直通道地址;

○2地址增量方式读出数据,并实现并串转换,通过Z轴实现并串转换;

○3同步扫描的逆程时间,该时间Z轴输出逻辑1(消隐状态)

(3)垂直扫描正程(白色区间):垂直扫描全程时间 = 40:1,满足设计要求。如图3-11;

(4)水平扫描正程时间(白色区间):水平扫描 = 32:1,满足设计要求。如图3-12,这里每字节数据代表8个水平扫描阶梯。

图3-10 MOV A003H,#00H的指令时序

经过相同的仿真步骤可以完成对MOV A003H,#01H的指令时序,仿真结果也正确。

图3-11 垂直方向一个周期的消隐状态输出

图3-12 水平方向一个周期的消隐状态输出

经过仔细观察比较,可以确定以上的时序已达到了我们设计功能要求。

5 掉电保护电路

电路利用实时日历钟芯片内的空闲RAM,它带有电池供电回路:当有外接电源时,电池处于充电状态,PCF8538的Vcc由外接电源供给;当断电或掉电时,才用电池供电,以保持PCF8538在断电或掉电仍然工作或保持RAM中的值。如图3-13:

图 3-13 掉电保护电路

当程序运行期间,将有关系当前状态的全局变量写入PCF8538的空闲内存,系统复位时,首先利用程序将保存的值付给全局变量,系统就恢复到掉电以前的状态。

四软件设计与实现

1软件功能

(1)数字信号发生器及键盘操作

图4-1

图4-2

2基本控制流程图

图4-3

五、 系统测试与结果分析

为了验证我们的作品达到了题目要求,我们提出了自己的测试方案。 测试仪器:示波器 Agilent 54622D (100M ) 数字模拟混合示波器

信号源 MOTECH(茂迪)FG-506

万用表 胜利DT890D

触发方式的实现

逻辑分析仪使用手册.pdf

目录 概述 (1) 第1章逻辑分析仪原理及基本概念 (2) 1.1逻辑分析仪原理 (2) 1.2逻辑分析仪基本概念 (2) 1.2.1定时采样 (2) 1.2.2状态采样 (3) 1.2.3动态采样 (3) 1.2.4存储容量 (3) 1.2.5采样时间 (4) 1.2.6测量带宽 (4) 1.2.7门限电压 (5) 1.2.8触发 (5) 1.2.9触发位置优先 (5) 1.2.10触发状态优先 (5) 第2章致远逻辑分析仪 (6) 2.1命名规则 (6) 2.1.1LA系列逻辑分析仪 (6) 2.1.2LAB系列逻辑分析仪 (6) 2.2功能特色 (7) 2.2.1测量线 (7) 2.2.2逻辑笔 (7) 2.2.3频率计 (8) 2.2.4双边沿同步采样 (9) 2.2.5触发方式 (9) 2.2.6数据滤波 (10) 2.2.7数据导出 (11) 2.2.8协议分析 (11) 2.3型号对比 (11) 2.3.1LA系列对比 (11) 2.3.2LAB系列对比 (12) 2.3.3LA系列与LAB系列对比 (13) 第3章如何使用逻辑分析仪 (14) 3.1逻辑分析仪软件安装 (14) 3.1.1安装ZlgLogic软件 (14) 3.1.2安装驱动程序 (18) 3.1.3软件升级 (19) 3.2逻辑分析仪硬件连接 (21) 3.3逻辑分析仪使用步骤 (25) 3.3.1频率测量 (25) 3.3.2总线测量 (28) 3.3.3SPI测量 (31) 3.3.4SPI总线分析 (32) i

3.3.5SPI触发设置 (34) 3.4逻辑分析仪使用注意事项 (36) 3.4.1确保接地良好 (36) 3.4.2合理设置采样频率 (37) 3.4.3合理设置触发方式 (37) 3.4.4合理设置门限电压 (37) 3.4.5使用Timing-State模式 (38) 3.4.6差分信号测量 (38) 第4章逻辑分析仪的应用 (39) 4.1逻辑分析仪队列触发的应用 (39) 4.1.1队列触发在数字通信系统的应用 (39) 4.1.2队列触发在工业自动化领域的应用 (40) 4.2逻辑分析仪数据延迟触发的应用 (42) 4.2.1原理分析 (42) 4.2.2测试步骤 (42) 4.3逻辑分析仪插件触发的应用 (44) 4.4逻辑分析仪外部触发的应用 (44) 4.4.1触发输出在电路调试中的应用 (44) 4.4.2触发输入在电路调试中的应用 (46) 4.4.3其它应用 (47) 4.5逻辑分析仪在数据采集开发系统中的应用 (47) 4.6逻辑分析仪在1-wire总线开发中的应用 (49) 4.7逻辑分析在LIN总线开发中的应用 (51) 4.8逻辑分析仪在DALI总线开发中的应用 (53) 4.9逻辑分析仪在CAN总线开发中的应用 (54) 4.10逻辑分析仪在FPGA开发中的应用 (55) 4.11逻辑分析仪在ACTEL平台中的应用 (57) 4.11.1方案介绍 (58) 4.11.2实现过程 (58) 4.12逻辑分析仪在RFID开发中的应用 (60) 4.12.1方案介绍 (60) 4.12.2方案实现 (60) 4.12.3实现过程 (61) 4.13逻辑分析仪在SDRAM开发中的应用 (62) 4.13.1硬件平台介绍 (62) 4.13.2建立应用平台 (63) 4.13.3逻辑分析仪测量应用 (64) 4.14逻辑分析仪在USB开发中的应用 (65) 4.14.1测量方法 (66) 4.14.2应用实例 (67) 4.15逻辑分析仪在CF卡开发中的应用 (68) 4.15.1CF卡原理 (68) 4.15.2插件解码分析 (69) 4.16逻辑分析仪在SD卡开发中的应用 (71) ii

数字频谱分析仪设计论文

本科生毕业论设计 论文题目:数字频谱分析仪 姓名: 学号: 班级: 年级: 专业: 学院:机械与电子工程学院 指导教师: 完成时间:

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

实验五--时序逻辑电路实验报告

实验五时序逻辑电路(计数器和寄存器)-实验报告 一、实验目的 1.掌握同步计数器设计方法与测试方法。 2.掌握常用中规模集成计数器的逻辑功能和使用方法。 二、实验设备 设备:THHD-2型数字电子计数实验箱、示波器、信号源 器件:74LS163、74LS00、74LS20等。 三、实验原理和实验电路 1.计数器 计数器不仅可用来计数,也可用于分频、定时和数字运算。在实际工程应用中,一般很少使用小规模的触发器组成计数器,而是直接选用中规模集成计数器。 2.(1) 四位二进制(十六进制)计数器74LS161(74LS163) 74LSl61是同步置数、异步清零的4位二进制加法计数器,其功能表见表5.1。 74LSl63是同步置数、同步清零的4位二进制加法计数器。除清零为同步外,其他功能与74LSl61相同。二者的外部引脚图也相同,如图5.1所示。 表5.1 74LSl61(74LS163)的功能表 清零预置使能时钟预置数据输入输出 工作模式R D LD EP ET CP A B C D Q A Q B Q C Q D 0 ××××()××××0 0 0 0 异步清零 1 0 ××D A D B D C D D D A D B D C D D同步置数 1 1 0 ××××××保持数据保持 1 1 ×0 ×××××保持数据保持 1 1 1 1 ××××计数加1计数3.集成计数器的应用——实现任意M进制计数器 一般情况任意M进制计数器的结构分为3类,第一类是由触发器构成的简单计数器。第二类是由集成二进制计数器构成计数器。第三类是由移位寄存器构成的移位寄存型计数器。第一类,可利用时序逻辑电路的设计方法步骤进行设计。第二类,当计数器的模M较小时用一片集成计数器即可以实现,当M较大时,可通过多片计数器级联实现。两种实现方法:反馈置数法和反馈清零法。第三类,是由移位寄存器构成的移位寄存型计数器。 4.实验电路: 十进制计数器 同步清零法 同步置数法

基于51单片机的简易逻辑分析仪设计

本科生毕业设计报告学院物理与电子工程学院专业电子信息工程 设计题目:基于51单片机的 简易逻辑分析仪设计 学生姓名 指导教师 (姓名及职称) 班级 学号 完成日期:年月

基于51单片机的简易逻辑分析仪设计 物理与电子工程学院电子信息工程 [摘要]本设计完成了一种能进行数字电路中多路数据测试的简易逻辑分析仪。它以51单片机控制核心,数模转换器为逻辑信号门限电平控制电路,用按键和 12864LCD作为人机交互界面,采用C51进行模块化编程,实现了四路信号的测试,具有成本低,使用方便等特点。 [关键词]数字电路单片机数模转换器逻辑分析仪 1 设计任务与要求 本设计的主要任务及参数指标是:数据位数4位,存储深度80字;数据速率最高1kHz;输入阻抗大于50kΩ;逻辑信号门限电平在1.0V~4.0V 范围内按8级任意设定。 2 设计方案 本系统采用51单片机为控制核心,系统由单片机系统、逻辑电平控制、按键、LCD显示、系统电源等模块构成。被测数据输入到逻辑电平控制模块,然后进行单片机进行测试,按键用于控制逻辑信号门限电平的大小,系统电源为各模块供电,各模块的供电电压为5V。

图1 系统框图 3 设计原理分析 3.1 单片机系统电路设计 图2 单片机系统电路 单片机系统为逻辑分析仪的核心,负责控制逻辑分析仪的逻辑电平、检测按键并驱动LCD 进行显示。单片机系统电路如图2所示,由晶体振荡器Y1、电容C3和C4构成振荡器电路,为单片机提供时钟信号。电容C1、电阻R2和R1、按键KEY1构成单片机复位电路,高电平复位,当按键KEY1按下的时间超过2个机器周期以上时,单片机就执行复位操作。EA 接高电平,单片机首先访问内部程序存储器。J1为1KΩ的排阻,作为P0口的外部上拉电阻。在硬件制作时为了方便单片机的测试和功能的扩展,把所有的I/O 口均通过排针引出。 EA/VP 31X119X218RESET 9 RD 17WR 16 INT012INT113T014T115P101P112P123P134P145P156P167P178P0039P0138P0237P0336P0435P0534P0633P0732P2021P2122P2223P2324P2425P2526P2627P27 28 PSEN 29 ALE/P 30TXD 11RXD 10U18051 P10 P11P12P13P14P15P16P17P00P01P02P03P04P05P06P07P20P21P22P23P24P25P26P27 123456789J1 1K +5 Y112M +5 RXD TXD RD WR T0T1INT0INT1C3 22p F C4 22p F R28.2K C110u F +5 12J6CON2 KEY1SW2 R1100 . .

简易逻辑分析仪报告

简易逻辑分析仪 摘要 本系统是由单片机作为主控制器、可编程器件作为辅助控制单元来实现数字信号产生、逻辑信号采集和示波器显示。 由单片机为核心的信号发生器,实现了大范围可控频率、预设码型的信号输出;数据采集模块的输入电路中的程控迟滞比较器,提高了输入信道的抗干扰能力。可编程器件高密度特点在本系统中的应用,大大减少了外围器件,增强了系统的可靠性。带有LCD显示模块为用户控制提供友好的人机界面,实现了设置掉电保护功能,并支持鼠标操作和图形打印。 关键词逻辑分析仪单片机可编程器件程控迟滞比较器一、方案论证及选择

方案一: 利用普通的74系列移位计数器构成数字信号发生器,纯单片机方式实现逻辑分析仪。 图 1-1 方案一结构框图 如图1-1所示,数字信号发生器部分,利用74系列的移位计数器的基本功能,通过拨码开关向置数端预置循环序列,通过TTL 驱动输出数字信号。逻辑分析仪部分的门限电压由电位器控制。这种方法单片机除了完成基本的数据分析外,还需要完成对逻辑数据的采集、存储、显示等大量控制工作。 方案二: 由单片机产生数字信号序列,由另外两片单片机构成逻辑分析仪。 射随器 门限 比较器 电位器 调压电路 单 片 机 Z Y X D/A D/A 预 置 拨码开关 序列 输出 数字信号发生器 简易逻辑分析 100Hz 时钟 键盘 级联74 移位计数器 数码管

图 1-2 方案二结构框图 如图1-2所示,相比方案一在信号产生上方案二采用了单片机方案,数码管显示循环序列码状态,本方案用软件可以实现不同频率、更加复杂数字信号的输出。在逻辑分析仪部分,部分的特点是双单片机结构,二者通过串口通信,下位机单片机3只负责显示,上位机单片机2通过D/A 输出程控的门限电平。本方案解决了显示与数据采集处理不能同时工作的矛盾, 方案三 利用FPGA/CPLD 的高速特点,实现系统并行工作,这是本方案相比于方案二的特色之一。用可编程器件可以高速完成单一功能模块。FPGA/CPLD 的使用弥补了单片机在高速采集和实时显示的弱点,使整个系统的处理能力远超过当前微控制器的水平,这使设计十分具有发挥的空间。而且通过合理地划分软硬件的工作量,将使软件控制和软件编写变得容易。 单 片 机 2 单 片 机 3 单 片 机 1 输出级TTL 驱动 射随器 D/A 门限 比较器 串口 通信 Z Y X D/A D/A 数码管 键盘 数字信号发生简易逻辑分析 键盘 数码管

基于MATLAB的频谱分析仪设计

基于MATLAB的信号频谱分析仪的实现 一、概述 信号处理几乎涉及到所有的工程技术领域,而频谱分析又是信号处理中一个非常重要的分析手段。一般的频谱分析都依靠传统频谱分析仪来完成,价格昂贵,体积庞大,不便于工程技术人员的携带。虚拟频谱分析仪改变了原有频谱分析仪的整体设计思路,用软件代替了硬件,使工程技术人员可以用一部笔记本电脑到现场就可轻松完成信号的采集、处理及频谱分析。 在工程领域中,MA TLAB是一种倍受程序开发人员青睐的语言,对于一些需要做大量数据运算处理的复杂应用以及某些复杂的频谱分析算法MA TLAB显得游刃有余。本文将重点介绍虚拟频谱分析仪、MA TLAB软件及对正弦信号的频谱分析。 1.1虚拟频谱分析仪的功能包括: (1) 音频信号信号输入。输入的途径包括从声卡输入、从WAV文件输入、从信号发生器输入; (2) 信号波形分析。包括幅值、频率、周期、相位的估计,并计算统计量的峰值、均值、均方值和方差等信息; (3) 信号频谱分析。频率、周期的估计,图形显示幅值谱、相位谱和功率谱等信息的曲线。 2.1MA TLAB软件

二、实验原理 2.1快速傅立叶变换(FFT) 在各种信号序列中,有限长序列占重要地位。对有限长序列可以利用离散傅立叶变换(DFT)进行分析。DFT不但可以很好的反映序列的频谱特性,而且易于用快速算法(FFT)在计算机上进行分析。 有限长序列的DFT是其z变换在单位圆上的等距离采样,或者说是序列傅立叶的等距离采样,因此可以用于序列的谱分析。FFT是DFT 的一种快速算法,它是对变换式进行一次次分解,使其成为若干小数据点的组合,从而减少运算量。 MATLAB为计算数据的离散快速傅立叶变换,提供了一系列丰富的数学函数,主要有Fft、Ifft、Fft2 、Ifft2, Fftn、ifftn和Fftshift、Ifftshift等。当所处理的数据的长度为2的幂次时,采用基-2算法进行计算,计算速度会显著增加。所以,要尽可能使所要处理的数据长度为2的幂次或者用添零的方式来添补数据使之成为2的幂次。 Fft函数调用方式:○1Y=fft(X); ○2Y=fft(X,N); ○3Y=fft(X,[],dim)或Y=fft(X,N,dim)。 函数Ifft的参数应用与函数Fft完全相同。 2.2周期图法功率谱分析原理 周期图法是把随机数列x(n)的N个观测数据视为能量有限的序列,直接计算x(n)的傅立叶变换,得X(k),然后再取幅值的平

译码器实验报告

译码器实验报告 一、实验目的 1、掌握中规模集成译码器的逻辑功能和使用方法 2、熟悉数码管的使用 二、实验原理 译码器是一个多输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统中有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。不同的功能可选用不同种类的译码器。 译码器可分为通用译码器和显示译码器两大类。前者又分为变量译码器和代码变换译码器。 变量译码器(又称二进制译码器),用以表示输入变量的状态,如2线-4线、3线-8线和4线-16线译码器。若有n个输入变量,则有2n个不同的组合状态,就有2n个输出端供其使用。而每一个输出所

代表的函数对应于n个输入变量的最小项。 三、实验设备与器件 1.+5V直流电源 2.单次脉冲源 3.逻辑电平开关 4.74LS138 四、实验内容及步骤 1.74LS138译码器逻辑功能测试 将译码器使能端STA、STB、STC与地址端A2、A1、A0分别接到逻辑电平开关输入口,八个输出端Y7…Y0依次连接在十六位逻辑电平显示上,拨动逻辑电平开关,逐项测试74LS138的逻辑功能。2.实验箱电源连接正确,电路自查确定无误后,电路验证还是不正确的情况下进行下面的排错检查:

1)检查芯片的电源和地的电平是否正确。 2)芯片的使能端连接的电平正确。 3)从逻辑电平开关输入信号是否正确。 4)从输出端按逻辑功能状态往前一步一步排查。 3.两片3线-8线译码器74LS138扩展为4线-16线译码器 用两片74LS138组合成一个四线-十六线译码器进行实验,并分析逻辑功能。

基于单片机的简易逻辑分析仪毕业设计论文

基于单片机的简易逻辑分析仪 目录 第1节引言 (3) 1.1系统概述 (3) 1.1.1系统的特点 (4) 1.1.2系统的功能 (4) 第2节系统主要硬件电路设计 (5) 2.1 系统结构框图 (5) 2.2 主体控制模块 (5) 2.3 系统硬件的主体实现 (7) 2.3.1 数字信号发生器模块的电路设计与实现 (7) 2.3.2 主控系统模块的电路设计与实现 (8) 2.3.3 LED显示模块的电路设计与实现 (10) 2.3.4 硬件的抗干扰措施 (12) 第3节系统软件设计 (13) 3.1 系统软件流程 (13) 3.2 中断服务子程序 (15) 3.3 AT24C04程序设计 (15) 第4节结束语 (19) 参考文献 (20) 基于单片机的简易逻辑分析仪

第1节引言 信息时代是数字化的时代,数字技术的高速发展,出现了以高性能计算机为核心的数字通信、数字测量的数字系统。在研究这些数字系统产品的应用性能的同时也必须研究在设计、生产和维修他们的过程中,如何验证数字电路设计的合理性、如何协调硬件及其驱动应用软件的工作、如何测量其技术指标以及如何评价其性能。逻辑分析仪的出现,为解决这些问题提供了可能。 随着数字系统复杂程序的增加,尤其是微处理器的高速发展,用示波器测试己显得有些无能为力。1973年在美国应运而生的逻辑分析仪(Logic Analyzer),能满足数字域测试的各种要求。它属于总线分析仪一类的数据域测试仪器*主要用于查找总线(或多线)相关故障.同时对于数据有很强的选择能力和跟踪能力,因此,逻辑分析汉在数字系统的测试中获得了广泛的应用。 逻辑分析仪(Logic Analyzer)是以逻辑信号为分析对象的测量仪器。是一种数据域仪器,其作用相当于时域测量中的示波器。正如在模拟电路错误分析中需要示波器一样,在数字电路故障分析中也需要一种仪器,它适应了数字化技术的要求,是数字、逻辑电路、仪器、设备的设计、分析及故障诊断工作中不可按少的工具。在测试数字电路、研制和维修电子计算机、微处理器以及各种集成化数字仪表和装置中具有广泛的用途;还是数字系统设计、侦错、软件开发和仿真的必备仪器;作为硬件设计中必不可少的检测工具,还可将其引入实验教学中,建立直观感性的印象,提升学生的硬件设计能力,可以全面提高教学质量;随着科技的发展,LA在多通道、大存储量、高采样速率、多触发功能方面得到更快的发展,在航天、军事、通信等数字系统领域得到越来越广泛的应用。 我们从上面可以看出逻辑分析仪在各个领域的广泛应用。那么我们在学习、应用的同时设计并制作一个简易的逻辑分析仪就显的意义重大了,这样这个过程既可以让我们更加深入理解其原理,又可以提高动手设计并制作整个系统电路的能力,还可以将其作为简易仪器应用于以后的实验中。 1.1系统概述 因在本节中,我们将对简易逻辑分析仪的应用进行分析。给出它的特点,能实现的功能以及系统的简单操作 1.1.1 系统的特点 逻辑分析仪也称逻辑示波器,它是用来分析数字系统逻辑关系的一种仪器。逻辑分析仪的主要作用有二个:一是用于观察的形式显示出数字系统的运行情况,相当于扩展了人们的视野,起一个逻辑显示器的作用;二是对系统运行进行分析和故障诊断。

labview的8位逻辑分析仪

目录 引言 (5) 一、LABVIEW和数字逻辑分析仪简介 (6) 1.1 LABVIEW简介 (6) 1.2 数字逻辑分析仪简介 (6) 1.3 实验平台简介 (8) 二、数字逻辑分析仪的总体设计 (8) 三、前面板设计 (11) 四、程序设计 (11) 五、调试及结果 (13) 六、总结心得 (14) 七、参考文献 (15)

引言 数字逻辑分析仪重点在于考察信号高于或低于某一门限电平值,以及这些数字信号与系统时间之间的相对关。逻辑分析仪是一种类似于示波器的波形测试设备,它可以监测硬件电路工作时的逻辑电平(高或低),并加以存储,用图形的方式直观地表达出来,便于用户检测,分析电路设计(硬件设计和软件设计) 中的错误,逻辑分析仪是设计中不可缺少的设备,通过它,可以迅速地定位错误,解决问题,达到事半功倍的效果。逻辑分析仪是利用时钟从测试设备上采集和显示数字信号的仪器,最主要作用在于时序判定。由于逻辑分析仪不像示波器那样有许多电压等级,通常只显示两个电压(逻辑1和0),因此设定了参考电压后,逻辑分析仪将被测信号通过比较器进行判定,高于参考电压者为High,低于参考电压者为Low,在High与Low之间形成数字波形。逻辑分析仪分为两大类:逻辑状态分析仪(Logic State Analyzer,简称LSA)和逻辑定时分析仪(Logic Timing Analyzer)。这两类分析仪的基本结构是相似的,主要区别表现在显示方式和定时方式上。 LabVIEW是目前国际上唯一的编译型图形化编程语言,使用“所见即所得”的可视化技术建立人机界面,使用图标表示功能模块迷失用图标之间的连线表示各模块间的数据传递。同时LabVIEW继承了高级编程语言的结构化和模块化编程的优点,支持模块化与层次化实际,这种结构的实际增强了程序的可读性。 LabVIEW是一种图形化的编程语言和开发环境,它广泛地被工业界、学术界和研究实验室所接收,被公认为是标准的数据采集和仪器控制软件。LabVIEW 是一个功能强大且灵活的软件,利用他可以方便的建立自己的虚拟仪器。以LabVIEW为代表的图形化编程语言,又称为“G”语言。使用这种语编程时,基本上不需要编写程序代码,而是“绘制”程序流程图。LabVIEW尽可能利用工程技术人员所熟悉的术语、图标和概念,因而它是一种面向最终用户的开发工具,可以增强工程人员构建自己的科学和工程系统的能力,可为实现仪器编程和数据采集系统提供便捷途径。 本次课程设计就是在LabVIEW基础上设计一个8位数字逻辑分析仪。并从中学习和了解LabVIEW的运用和编程。

10频谱分析仪设计外文资料翻译

MATLAB的关键特性介绍 MATLAB 是一种应用于算法开发、数据显示、数据分析、数值计算方面的高级计算机语言和交互式开发环境。使用MATLAB软件,你能比例如C、C++, 或者Fortran更快的解决技术上的问题。 你能在很多领域使用MATLAB,例如信号或者图像处理、通讯、控制、测量、金融建模和生物学计算等。可以通过添加某些收集了特殊用途函数的工具箱来将MATLAB专门用于解决某一应用领域的问题。 MATLAB 为编排和分享你的功能提供了一系列的功能。你可以将MALAB 代码与其他语言整合,并且区别开算法与应用程序。 关键特性 (1)高级的计算语言。 (2)开发环境支持代码、文件、数据的管理。 (3)采用了为重复研究、设计和解决问题的交互式的工具。 (4)为线性代数、统计学、傅立叶分析、滤波器设计、最优化设计、数值综合等设计了相关的数学函数。 (5)为显示数据而准备了2-D 和3-D 图形功能。 (6)有个性化的用户接口工具。 (7)有外部语言(例如C, C++,Fortran, Java, COM,和Microsoft Excel)集成在Matlab中的函数。 开发算法和应用 MATLAB 提供了一个高级语言和开发工具,这些允许让你能迅速的开发和分析你的算法和应用。 MATLAB 语言 MATLAB 语言支持向量和矩阵,而这些是工程和特殊问题的基本。它允许快速的开发和执行。 有了MATLAB 语言,你可以比其他传统的语言在编写和开发算法方面更

加快速。因为你不再需要去执行一些低级的操作,例如定义变量、s制定数据类型和分配内存。在许多例子中,MATLAB 可以不用‘for’语句. 结果是一行MATLAB语句可以替代许多行的 C or C++ 代码. 同时,MATLAB 提供传统编程语言的所有特性,包括算法操作、流控制、数据结构、数据类型、面向对象(OOP)和调试特性。 MATLAB 允许你在执行一个命令或者一组命令时不去编译和链接,确保你能够迅速的重试而得到最优的解决方案。 为了能快速计算大型的矩阵和向量,MATLAB 使用了增强型处理器库。为了普通的标量计算,MATLAB 使用了即时编辑技术的机器码指令集。 这种在大多数平台上使用的技术提供了可以与传统的编程语言可以媲美的执行速度。 开发工具 MATLAB 包含的开发工具可以帮助你高效的实现你的算法。这些工具包括:: MATLAB Editor——提供标准的编辑和调试特点,例如设置断点和单步执行。 M-Lint Code Checker——分析你的代码和推荐的改变方法去改善它的性能和稳定性。 MATLAB Profiler——记录程序在每一行所花的时间。 Directory Reports——在一个文件夹中扫描所有的文件并且报告代码的效率、文件的差异、文件的依赖性和代码的覆盖等。 设计图形化的用户接口 你的可以使用交互式的工具GUIDE (图形化的用户接口开发环境) 去布置、设计和编辑用户接口。GUIDE 能为你提供列表框、下拉式菜单、按键、收音机式按钮、滚动条和MATLAB plots and ActiveX 控件. 或者,你也可以通过MATLAB 函数用程序的形式创建GUIs。 分析和访问数据

华中科技大学计算机学院数字逻辑实验报告2(共四次)

数字逻辑实验报告(2) 姓名: 学号: 班级: 指导教师: 计算机科学与技术学院 20 年月日

数字逻辑实验报告(2)无符号数的乘法器设计

一、无符号数的乘法器设计 1、实验名称 无符号数的乘法器的设计。 2、实验目的 要求使用合适的逻辑电路的设计方法,通过工具软件logisim进行无符号数的乘法器的设计和验证,记录实验结果,验证设计是否达到要求。 通过无符号数的乘法器的设计、仿真、验证3个训练过程,使同学们掌握数字逻辑电路的设计、仿真、调试的方法。 3、实验所用设备 Logisim2.7.1软件一套。 4、实验内容 (1)四位乘法器设计 四位乘法器Mul4 4实现两个无符号的4位二进制数的乘法运算,其结构框图如图3-1所示。设被乘数为b(3:0),乘数为a(3:0),乘积需要8位二进制数表示,乘积为p(7:0)。 图3-1 四位乘法器结构框图 四位乘法器运算可以用4个相同的模块串接而成,其内部结构如图3-2所示。每个模块均包含一个加法器、一个2选1多路选择器和一个移位器shl。 图3-2中数据通路上的数据位宽都为8,确保两个4位二进制数的乘积不会发生溢出。shl是左移一位的操作,在这里可以不用逻辑器件来实现,而仅通过数据连线的改变(两个分线器错位相连接)就可实现。

a(0)a(1)a(2)a(3) 图3-2 四位乘法器内部结构 (2)32 4乘法器设计 32 4乘法器Mul32 4实现一个无符号的32位二进制数和一个无符号的4位二进制数的乘法运算,其结构框图如图3-3所示。设被乘数为b(31:0),乘数为a(3:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-3 32 4乘法器结构框图 在四位乘法器Mul4 4上进行改进,将数据通路上的数据位宽都改为32位,即可实现Mul32 4。 (3)32 32乘法器设计 32 32乘法器Mul32 32实现两个无符号的32位二进制数的乘法运算,其结构框图如图3-4所示。设被乘数为b(31:0),乘数为a(31:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-4 32 32乘法器结构框图 用32 4乘法器Mul32 4作为基本部件,实现32 32乘法器Mul32 32。 设被乘数为b(31:0)=(b31b30b29b28···b15b14b13b12···b4b3b2b1b0)2 乘数为a(31:0)=(a31a30a29a28···a15a14a13a12···a3a2a1a0)2 =(a31a30a29a28)2 228+···+ ( a15a14a13a12)2 212+···+ (a3a2a1a0)2 20

基于单片机的简易逻辑分析仪的毕业设计论文

基于单片机的简易逻辑分析仪毕业设计论文 目录 第1节引言 (3) 1.1系统概述 (3) 1.1.1系统的特点 (4) 1.1.2系统的功能 (4) 第2节系统主要硬件电路设计 (5) 2.1 系统结构框图 (5) 2.2 主体控制模块 (5) 2.3 系统硬件的主体实现 (7) 2.3.1 数字信号发生器模块的电路设计与实现 (7) 2.3.2 主控系统模块的电路设计与实现 (8) 2.3.3 LED显示模块的电路设计与实现 (10) 2.3.4 硬件的抗干扰措施 (12) 第3节系统软件设计 (13) 3.1 系统软件流程 (13) 3.2 中断服务子程序 (15) 3.3 AT24C04程序设计 (15) 第4节结束语 (19) 参考文献 (20)

基于单片机的简易逻辑分析仪 第1节引言 信息时代是数字化的时代,数字技术的高速发展,出现了以高性能计算机为核心的数字通信、数字测量的数字系统。在研究这些数字系统产品的应用性能的同时也必须研究在设计、生产和维修他们的过程中,如何验证数字电路设计的合理性、如何协调硬件及其驱动应用软件的工作、如何测量其技术指标以及如何评价其性能。逻辑分析仪的出现,为解决这些问题提供了可能。 随着数字系统复杂程序的增加,尤其是微处理器的高速发展,用示波器测试己显得有些无能为力。1973年在美国应运而生的逻辑分析仪(Logic Analyzer),能满足数字域测试的各种要求。它属于总线分析仪一类的数据域测试仪器*主要用于查找总线(或多线)相关故障.同时对于数据有很强的选择能力和跟踪能力,因此,逻辑分析汉在数字系统的测试中获得了广泛的应用。 逻辑分析仪(Logic Analyzer)是以逻辑信号为分析对象的测量仪器。是一种数据域仪器,其作用相当于时域测量中的示波器。正如在模拟电路错误分析中需要示波器一样,在数字电路故障分析中也需要一种仪器,它适应了数字化技术的要求,是数字、逻辑电路、仪器、设备的设计、分析及故障诊断工作中不可按少的工具。在测试数字电路、研制和维修电子计算机、微处理器以及各种集成化数字仪表和装置中具有广泛的用途;还是数字系统设计、侦错、软件开发和仿真的必备仪器;作为硬件设计中必不可少的检测工具,还可将其引入实验教学中,建立直观感性的印象,提升学生的硬件设计能力,可以全面提高教学质量;随着科技的发展,LA在多通道、大存储量、高采样速率、多触发功能方面得到更快的发展,在航天、军事、通信等数字系统领域得到越来越广泛的应用。 我们从上面可以看出逻辑分析仪在各个领域的广泛应用。那么我们在学习、应用的同时设计并制作一个简易的逻辑分析仪就显的意义重大了,这样这个过程既可以让我们更加深入理解其原理,又可以提高动手设计并制作整个系统电路的能力,还可以将其作为简易仪器应用于以后的实验中。 1.1系统概述 因在本节中,我们将对简易逻辑分析仪的应用进行分析。给出它的特点,能实现的功能以及系统的简单操作 1.1.1 系统的特点 逻辑分析仪也称逻辑示波器,它是用来分析数字系统逻辑关系的一种仪器。逻辑

简易逻辑分析仪

安阳工学院电子信息与电气工程学院 《EDA技术》课程大作业 题目:简易逻辑分析仪 班级: 2011级电子信息工程一班 评分标准: 1、题目难易度。 10% 2、设计和结论正确,分析清晰合理。 40% 3、大作业报告阐述清晰,格式规范。 20% 4、陈述清晰,回答问题正确。 30% 大作业成绩 总成绩=T+J*40%+(J+J*(X-1/N))*30% T为回答问题成绩,J为教师成绩,X为学生自评分值,N为小组人数

简易逻辑分析仪 1. 设计任务 逻辑分析仪可以将数字系统中的脉冲信号、逻辑控制信号、总线数据甚至毛刺脉冲都能同步高速的采集进该仪中的高速RAM中暂存,以备显示和分析。我们所设计的简易逻辑分析仪是一个8通道的逻辑数据采集电路,它可以将输入到RAM中的计数结果通过输出线完整地按地址输出出来,其中CLK(时钟信号),CLK_EN(时钟使能信号),CLR(清零端),WREN(写入允许控制)和DIN(7..0)(写入允许控制),OUTPUT(八位数据输出)。预期可以将输入到简易逻辑分析仪中的数据可以完整的读取出来。 2.设计方案 我们所设计的简易逻辑分析仪主要有三个功能模块构成:一个8位LMP_RAM0,存储1024个字节,有十根地址线;一个十位计数器LMP_COUNTER和一个锁存器74244。设计思路框图如下图一: 图一设计思路框图 3. 方案实施 3.1、LPM计数器模块的设计 首先打开一个原理图编辑窗,存盘取名为 eda1,然后建成工程,在进入本工程的原理图,单击Mega Wizard Plug-In Manger 管理器按钮,然后进入如图二所示的窗口,选择LPM-COUNTER模块,再选择CycloneⅢ和VHDL;文件名为CONT10B。

基于LabVIEW的频谱分析仪的设计--开题报告

XXXX大学学生开题报告表 课题名称基于LabVIEW的频谱分析仪的设计 课题来源实际课题类型 E 导师XXX 学生姓名XXX 学号XXX 专业电子信息工程开题报告内容:(调研资料的准备,设计目的、要求、思路与预期成果;任务完成的阶段内容及时间安排;完成设计(论文)所具备的条件因素等。) 1、调研资料的准备 在毕业设计前期,利用图书馆、互联网获取了LabVIEW软件及频谱分析仪的设计的相关资料;对于题目关键技术要点,通过向导师答疑以及与同组同学讨论的方式得到解决,从而确定了题目的技术方案;在后续的设计过程中,还将继续利用图书馆、互联网等途径获取与设计有关的知识,并加强与导师的沟通。 2、设计目的、要求 题目主要是利用LabVIEW软件设计出简单的频谱分析仪,根据频谱分析仪的原理确定其功能,结合LabVIEW软件平台的特点对仪器做出设计和软件编程,实现对信号的分析和研究。 整个系统由虚拟信号发生器模块、虚拟信号滤波器模块和频谱分析模块三部分组成。虚拟信号发生器模块能够产生正弦波、三角波、方波等标准信号,并且可以叠加各种干扰噪声;频谱分析模块主要对上述信号进行时域分析、频域分析和谐波分析等。 掌握基于LabVIEW编程的相关知识和信号的频谱分析方法,要求系统能够产生正弦波、三角波、方波等标准信号,可以叠加各种干扰噪声并对上述信号进行时域分析、频域分析和谐波分析等。完成15000字以上的毕业设计论文,并翻译3000汉字以上的相关英文资料。 3、设计思路与预期成果 根据频谱分析仪的原理确定分析幅度谱、相位谱、自功率谱、互功率谱功能,然后结合LabVIEW软件平台特点实施仪器系统的总体设计和软件编程,最后进行系统调试试验。 本设计采用的是数字处理式频谱分析原理。频谱分析仪是在虚拟示波器的基础上调用滤波函数、加窗函数、FFT函数得到信号频谱特性参数的仪器。实现方法如下:经过采样,将连续时间信号变为离散时间信号,接着利用LabVIEW强大的数字信号处理功能,对这组数据进行滤波、加窗、FFT运算处理,得到信号的幅度谱、相位谱以及功率谱。 在采样过程中,对不同的频率信号,选用合适的采样速率,以满足采样定理,从而防止

数字逻辑实验报告。编码器

数字逻辑实验实验报告 脚分配、1)分析输入、输出,列出方程。根据方程和IP 核库判断需要使用的门电路以及个数。 2)创建新的工程,加载需要使用的IP 核。 3)创建BD 设计文件,添加你所需要的IP 核,进行端口设置和连线操作。 4)完成原理图设计后,生成顶层文件(Generate Output Products)和HDL 代码文件(Create HDL Wrapper)。 5)配置管脚约束(I/O PLANNING),为输入指定相应的拨码开关,为输出指定相应的led 灯显示。

6)综合、实现、生成bitstream。 7)仿真验证,依据真值表,在实验板验证试验结果。

实验报告说明 数字逻辑课程组 实验名称列入实验指导书相应的实验题目。 实验目的目的要明确,要抓住重点,可以从理论和实践两个方面考虑。可参考实验指导书的内容。在理论上,验证所学章节相关的真值表、逻辑表达式或逻辑图的实际应用,以使实验者获得深刻和系统的理解,在实践上,掌握使用软件平台及设计的技能技巧。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 实验环境实验用的软硬件环境(配置)。 实验内容(含电路原理图/Verilog程序、管脚分配、仿真结果等;扩展内容也列入本栏)这是实验报告极其重要的内容。这部分要写明经过哪几个步骤。可画出流程图,再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 实验结果分析数字逻辑的设计与实验结果的显示是否吻合,如出现异常,如何修正并得到正确的结果。 实验方案的缺陷及改进意见在实验过程中发现的问题,个人对问题的改进意见。 心得体会、问题讨论对本次实验的体会、思考和建议。

简易逻辑分析仪

简易逻辑分析仪 摘要 本系统基于逻辑分析仪原理,以AT89C系列单片机为核心,设计制作完成了简易逻辑分析仪。本系统主要由数字信号发生器模块、采集存储和示波器显示模块、人机交互模块三部分组成。基于题目要求,本系统对触发方式、信号采集存储、示波器显示波形和时间标志线、友好的人机界面等功能进行了重点设计。经测试,各项指标均满足基本部分和发挥部分的要求,并且有些指标超出题目要求。 关键字:逻辑分析仪;单片机;液晶 Abstract: Keywords: Signal Oscilloscope;MCU;LCM 1、 总体方案设计 1. 方案比较和选择 方案一:纯FPGA/CPLD(可带IP核)或FPGA/CPLD与单片机结合方式。即由FPGA/CPLD产生数字序列信号,判断单、三级触发信号,设定门限电压,采集、存储、显示被测信号;由IP核实现人机交互及信号测量分析等功能;或由单片机完成IP核实现的功能。此方案优点是速度快、精度高。缺点是软硬件复杂、调试困难、程序不易控制、性价比极低;而且体现不出本方案的优势。 方案二:纯单片机方式。即由多片单片机分别完成数字序列发生器,判断触发信号、数据采集、存储、显示,人机交互、门限电压设定

等功能。优点是操作方便、软件结构清晰、控制灵活、调试容易、性价比较高。本系统对速度的要求不是很高,所以单片机完全能够胜任。 2. 系统设计方案 本系统以三片单片机AT89C51为核心,将设计任务分解为数字信号发生器模块、采集存储和示波器显示模块、人机交互模块三部分。其中核心和关键部分是采集存储和示波器显示模块,另外两个模块起辅助作用。总体系统框图如图1所示。 图1 2、 电路设计与分析 1.可预置的8路数字信号发生器 本模块用于产生8路可预置的重复循环移位逻辑信号序列,输出信号为TTL电平,序列时钟频率为100Hz。输出数字信号如图2示例所示。

简易逻辑分析仪

简易逻辑分析仪 一、方案论证及选择 1、系统总体框图如下: 整个系统由信号发生器部分、信号调理部分、ARM软件控制部分以及输出显示部分组成。 2、数字信号发生器模块 方案一:采用555定时器和可预置移位寄存器。用两片74LS194A接成8位可预置循环移位寄存器,方波发生器提供一时钟信号给移位寄存器,预置数用8个按键接入(即循环序列),此方案简单可靠。 方案二:用PC 通过软件编程可以从并行口输出信号波形,不需要硬件电路,且设计灵活,但是不适合电子设计竞赛,并且PC体积大,携带不方便。 方案三:采用中规模FPGA,使用VHDL语言设计移位寄存器。此方案可以实现精确定时产生信号,且信号频率可调,体积小, 但其显示电路占用资源多,这样设计出来的电路系统将大且复杂。 方案四:采用一片AT89C2051单片产生波形序列。用单片机产生数字信号,设计简单,设置灵活,频率调节方便。 综合分析上述各方案,比较其优缺点,本系统有其固定的频率要求,故选用最简单的方案一。 3、门限电压分级部分 方案一、采用单片机软件控制分级输出不同的电压值,给到比较器的反相端。该方案简单、且输出电压精确。 方案二、用单片机产生一路PWM波,再经过两级RC低通滤波可得到直流电压,通过控制PWM波的占空比来改变电压值,达到分级效果。该方案RC滤波得到的电压不稳定,且有纹波。

方案三、直流电源供5V电压,采用电阻、电位器进行一级一级的分压,以实现分级效果。该方案电路复杂,且电位器调节比较难。 方案四、采用数字式电位器,由单片机结合相应的外围电路进行控制,以实现分压。采用程控方式,得到的电压精确且稳定。 鉴于本系统软件程序较多,ARM内部仅两个DA,故选择方案四以避免使用单片机内部DA。 二、硬件部分单元电路 1、数字信号发生器电路 该部分采用了555定时器产生一定频率的时钟信号,通过改变滑动变阻器阻值可实现频率在一定范围内可变,定时器后接一个非门以增强后级驱动能力。定时器产生的方波信号作为双向移位寄存器74LS194的输入时钟,利用74LS194的两个控制端(S0,S1)来产生八路可预置的循环移位逻辑信号序列。当按键SW2按下时,74LS194将按键的逻辑状态输入移位寄存器,送入移位寄存器的这组数值便在时钟的控制下循环移位。 2、信号调理部分 由移位寄存器产生的逻辑信号经过电压比较器LM339,与一可调门限电压进行比较,并输出TTL逻辑电平。这些电平信号输入到单片机与用户自己设置的触发状态字进行比较进而输出题目要求采集的信号。由于LM339输出的信号电平为5V,而单片机可承受的电压最大为3.3V,故需在LM339后进行光耦隔离以防止外部设备给过大的电流给单片机,同时也达到降压的效果。

基于labview的信号频谱分析仪毕业设计论文

基于LABVIEW的信号频谱分析仪设计 摘要 随着科学技术的进步,对测量技术的要求越来越高。电子测量技术在各个领域得到越来越广泛的应用,传统的电子测量仪器由于其功能单一,体积庞大,已经很难满足实际工作的需要。集成电路和计算机技术的迅猛发展使电子测量仪器逐渐向数字化、智能化方向发展,与传统仪器相比表现为:功能更强、处理速度更快、频带更宽、用途更广、操作更简单、体积更小、可扩充性更好。微型计算机的普及程度和性能不断提高,使得基于PC平台的虚拟仪器系统应运而生。虚拟仪器可以充分利用计算机的运算、存储和显示功能,因而在降低仪器成本的同时使仪器的灵活性和数据处理能力大大提高,可以很好地满足学校科研和教学改革的需要。 本文论述了基于虚拟仪器概念的信号采集系统的实现方案,重点讨论了在数据传输、显示和处理中的关键技术。使用USB数据采集卡,最终实现了基于 PC 平台的,具有频率计和频谱分析仪功能的数字存储示波器系统。本文所选用的软件LabView 是美国 NI 公司的创新软件产品,也是日前应用最广泛、发展最快、功能最强的图形化软件开发环境。它具有开发周期短、运行速度快、可重用性、使用方便灵活等优点。因此LabView 对虚拟存储示波器的设计是一种最理想的方法。 关键词:虚拟仪器;数字存储示波器;谐波分析仪;LabView仪器驱动程序

THE DESIGN OF SIGNAL SPECTRUM ANALYSER BASED ON LABVIEW ABSTRACT With the advancement of science and technology, the development of measurement technique is getting more and more important. The application of electronic measurement technique has extended to more fields than ever. Due to limited functions and big size, traditional electronic measurement equipment is no longer suited for common purposes. Thanks to the rapid development of integrated circuit and computer technology, measurement instruments are becoming digitized and https://www.wendangku.net/doc/9013766341.html,pared with traditional equipment, the new instruments have more functions, higher processing speed, wider bandwidth, friendlier interface,smaller size and better expandability. The prevalence and rocketing development of personal computers give birth to a new kind of instrument, Virtual Instrument (VI). VI is based on PC platform, and can make use of the software and hardware resources of a PC. Compared with its ability of data processing and flexibility, VI has a low price, which means it is a good choice for research and teaching reform inuniversities. This dissertation discusses the implementation of a signal acquisition system,based on the concept of VI and focuses on key techniques in data transmission, display and processing. With a USB data acquisition card connectedto PC, a digital storage oscillograph (DSO) with the function of cymometer and spectrum analyzer is https://www.wendangku.net/doc/9013766341.html,bVIEW is the innovate software of national instruments corps, of America.lt is also the most widely used、the most quickly developing and the strongest function gragh software.lt has short epolder and fast run-rate.So LabView

相关文档
相关文档 最新文档