文档库 最新最全的文档下载
当前位置:文档库 › SynopsysDC中文培训实验

SynopsysDC中文培训实验

SynopsysDC中文培训实验
SynopsysDC中文培训实验

SYNOPSYS

Lab1

Setup and Synthesis Flow

缩略词:Design Compile =DC;

备注:UNIX%代表在linux终端下进行操作,其余的代表在DC下进行DC命令输入

前言:

DC(Design Compiler)是Synopsys的logical synthesis优化工具,它根据design description 和constraints自动综合出一个优化了的门级电路。它可以接受多种输入格式,如硬件描述语言、原理图和netlist等,并产生多种性能报告,在缩短设计时间的同时提高读者设计性能。

基本的综合流程:

该基本综合流程包含下列步骤:

1. 发展HDL文件

输入Design Compiler的设计文件通常都是用诸如VHDL和Verilog HDL等

硬件描述语言编写。这些设计描述必须小心地编写以获得可能的最好的综合结果。在编写HDL代码时,你需要考虑设计数据的管理、设计划分和HDL编码风格。划分和编码风格直接影响综合和优化过程。

虽然流程中包含该步骤,但实际上它并不是Design Compiler的一个步骤。你不能用Design Compiler工具来编写HDL文件。

2. 指定库

通过link_,target_,symbol_,和synthetic_library命令为Design Compiler指定链接库、对象库、符合库和综合库。

链接库和对象库是工艺库,详细说明了半导体厂家提供的单元和相关信息,象单元名称、单元管脚名称、管脚负载、延迟、设计规则和操作环境等。

符号库定义了设计电路图所调用的符号。如果你想应用Design Analyzer图形用户界面,就需要这个库。

另外,你必须通过synthetic_library命令来指定任何一种特殊的有许可的设计工具库(你不需要指定标准设计工具库)。

3. 读入设计

Design Compiler使用HDL Compiler将RTL级设计和门级网表作为设计输

入文件读入。通过analyze和elaborate命令读入RTL级设计,通过read_file或read命令读入门级网表。Design Compiler支持所有主要的门级网表格式。

如果你用read_file或read命令读入RTL设计,等于实现了组合3analyze和elaborate命令的功能。

4. 定义设计环境

Design Compiler要求设计者模拟出待综合设计的环境。这个模型由外部

的操作环境(制造流程、温度和电压)、负载、驱动、扇出、线负载模型等组成。它直接影响到设计综合和优化的结果。利用图2-4中该步骤下所列的一系列命令来定义设计环境。5. 设置设计约束

Design Compiler利用设计规则和最优化约束来控制设计的综合。厂家工

艺库提供设计规则以保证产品符合规格、工作正常。典型的设计规则约束转换时间(set_max_transition)、扇出负载(set_max_fanout)和电容(set_max_capacitance)。这些规则指定了要求的工艺,你不能违反。当然,你可以设置更严格的约束。

最优化约束则定义了时序(时钟、时钟错位、输入延时和输出延时)和面积(最大面积)等设计目标。在最优化过程中,Design Compiler试图去满足这些目标,但不会去违反任何设计规则。利用图2-4中该步骤下所列的一系列命令来定义这些约束。为能够正确地优化设计,必须设置更接近现实情况的约束。

你选择的编译策略将影响设计约束的设置。流程中的步骤5和步骤6是相互依赖的。

6. 选择编译策略

你可以用来优化层次化设计的两种基本编译策略被称为自顶向下和从下上。

在自顶向下的策略里,顶层设计和它的子设计一起进行编译。所有的环境和约束设置都根据顶层设计来定义。因此,它会自动的考虑内部模块的依赖性。但对于大型设计,这种方法并不实用,因为所有的设计必须同时贮存在内存里。

在从下到上的策略里,分别对子设计进行约束和编译。在成功编译后,这些设计都被赋予一个dont_touch参数,防止在随后的编译过程中对它们进行进一步的改变。然后这些编

译过的子设计组合成更高层次的设计,再进行编译。编译过程一直持续到顶层设计被综合。由于Design Compiler不需要同时将所有未编译的子设计装载进内存,这种方法允许你编译大型设计。然而,在每一个阶段,你必须估计每个内部模块的约束,更有代表性的是,你必须不停地编译、改进那些估计,直到所有的子设计界面都是稳定的。

每一个策略都有其优点和缺点,这取决于你设计的特殊性和设计目标。你可以选择任意一个策略来进行整个设计,或者混合使用,对每一个子设计采用最合适的策略。

7. 优化设计

利用compiler命令启动Design Compiler的综合和优化进程。有几个可选

的编译选项。初步编译,如果你想对设计面积和性能有一个快速的概念,将map_effort设置为low;默认编译,如果你在进行设计开发,将map_effort设置为medium;当在进行最后设计实现编译时,将map_effort设置为high。通常设置map_effort为medium。

8. 分析和解决设计问题

通常Design Compiler根据设计综合和优化的结果生成众多的报告。你根据诸如面积、约束和时序报告来分析和解决任何设计问题,或者改进综合结果。你可以用check命令来检查综合过的设计,也可用其他的check_命令。

9. 保存设计数据

利用write命令来保存综合过的设计。Design Compiler在退出时并不自

动保存设计。

你也可以在一个脚本文件里保存那些综合过程中用过的设计参数和约束。脚本文件是用来管理设计参数和约束的理想工具。

技术相关帮助:

Linux下:

vi filename :打开或新建文件;例如:vi m.tcl (m.tcl若存在,则查看,反之为创建)创建/查看一个名为m的脚本文件。备注:按下键盘i时进入编辑插入状态;退出时不保存,按下Esc并输入“:q”;若退出时保存,按下Esc并输入“:wq”,强制退出加上!

vi -r filename :在上次正用vi编辑时发生系统崩溃,恢复filename

mkdir filename :新建一个文件夹。

rmdir filename :删除一个文件夹。

rm filename :删除一个文件或路径目录或文件夹;

rm –r filename :删除文件夹所有的东西,不可恢复。

DC下:

在命令行中,用man+ DC命令。可以得到这个DC命令的介绍。

温馨提示:在做实验前,请务必理解对应的章节!!!

一、实验目标:

1.学会如何查看隐藏的文件,以及了解DC刚启动需要.synopsys_dc.setup文件来初始

化信息。

2.懂得如何修改common_setup.tcl脚本里面的命令来配置DC相关的信息。

3.学会如何查看原理图和符号图。

4.使用dc_shell –topo 模式或design_vision –topo 模式来启动DC工具

二、实验流程:

1.检查.synopsys_dc.setup文件是否配置好DC启动时的相关信息

2.检查common_setup.tcl和dc_serup.tcl这两个文件,必要时修改common_setup.tcl文

3.使用Design Vision Topo模式来启动DC,即是design_vision –topo

4.读入rtl/TOP.v(或.vhd)文件,并查看符号图和原理图

5.用scripts/TOP.con的文件来对整个设计进行约束

6.用compile_ultra 命令来对这个设计文件进行综合

7.生成一个timing报告和area报告,进行分析

8.保存综合后的设计文件到mapped/TOP.ddc并退出DC

9.换另外一种模式来启动DC GUI,即是dc_shell –topo ;start_gui

三、实验步骤:

Task1: Examine and modify the setup file

1.进入实验文件lab1的目录下,查看.synopsys_dc.setup 、common_setup.tcl和

dc_setup.tcl,这三个文件。

########////////////######语句分析开始###########/########/////////////////////##############

##### 1. cd lab1 ;进入lab1目录下

#####2. ls -al .synopsys* ;查看所有前面以”.synopsys”开头的关键字的文件,-al代表查看所有的隐藏文件;

#####3. ls -al *setup* ;查看所有只要包含有关键字”setup”的文件,-al代表查看所有的隐藏文件;

########////////////######语句分析结束###########/########/////////////////////##############

2.请用一个文本编辑器(如vi)来修改commoc_setup.tcl里面的内容,请结合上面的相

关技术帮组和下表任务表来完成该任务。

Task2: Invoke Design Vision

1.进入lab1的目录下,以GUI –topo模式来启动DC工具,使其更具可视化。

########////////////######语句分析开始###########/########/////////////////////##############

##### 1. pwd ;查看当前路径。这样可以知道是否已经进入lab1的目录下

#####2. design_vision -topo ;以可视化界面-topo模式来启动DC工具,你可以看到一些已读入的信息,包括上一个步骤的配置信息,如搜索路径,目标库,链接库等等;这个因为当你启动DC工具时,会自动读取”.synopsys_dc.setup”这个配置文件的,这个文件是隐藏在lab1目录下的,这就是我们为什么要在lab1目录下启动DC工具。当然,你也可以不再在这个目录下启动DC,当你启动DC后,可以读进配置信息文件的,例如“source .synopsys_dc.setup”

########////////////######语句分析结束###########/########/////////////////////##############

2.在DC的GUI界面视图下,你将会看到以下的信息。

3.点击File-Setup,可以查看一些信息,请回答以下的问题。

问题一:请问这个工程的的目标库是什么?

问题二:请问这个工程的的链接库是什么?

问题三:请问这个工程的的符号库是什么?

4. 从File->Setup …

来使这个搜索路径Search path 选择正确,当你

点击进去的时候,你会看到以下搜索路径方向的列表,同时你可以修改搜索路径。 这里是默认的搜索路径方向。

问题4:请问这个工程的搜索路径有哪些?

5. 返回到Design Vision 界面,输入以下命令来验证DC 的一些库、搜索路径是否设置

正确。

6. 检查逻辑库与物理库之间是否想匹配:

Notice :从这个报告可知,这里有4个cell 是没有逻辑库的,分别是“feedthrough ”和“tap ”cells,因为它们是在物理版图中反映的,而不是在逻辑设计中反映,所以这个警告是可以忽略的。

7. 检查TLUPus 和 Technology 文件之间是否相匹配:

Notice: 你应该能看到4个“pass!”

Task3: Read the Design into DC Memory

1.点击Read...的按钮在左上角(or File->Read),选中rtl文件夹里的

Top.v或TOP.vhd。

Notice:在窗口的左上角,你可以看到“Logical Hierarchy”的列表框,该表框的顶头是top-level 设计名,除了顶层,还包括底层的设计模块单元。

例如 I_FSM , I_DECODE , and I_COUNT 。

2.选中TOP(用鼠标左键点击选中它),在底下的日志区你能看到这个设计

为:TOP。这是确保你的当前设计为顶层水平的设计。

3.Select File->Link Design->OK去连接这个设计和解决实例化引用的。简单

来说如果实例化的就是一个与非门,DC就从target library中找到,如果例化的是一个fifo,DC就从fifo的库中找到,如果例化的是一个模块,DC 就从内存中找到,因为这个模块的代码在编译过程中已经读进内存中去了

4.保存设计文件,格式为“.dcc”。

########////////////######语句分析开始###########/########/////////////////////##############

#####该条语句的目的是把顶层文件保存,-hier 指的是以分层的模式保存–f 指的是file 的意思dcc 指的是保存的格式–out 指的是输出unmapped/TOP.ddc 指的是在路径unmapped下保存TOP.ddc。

########////////////######语句分析结束###########/########/////////////////////############## 5.查看设计文件清单和相关的库文件清单

#

########////////////######语句分析开始###########/#######/////////////////////############## #####该条语句的目的是把保存的所有设计文件和相关的库文件一一列出来

########////////////######语句分析结束###########/########/////////////////////##############

Task4: Constrain TOP with a Script file

1.打开顶层的符号视图(用鼠标选中TOP,然后点击Create Symbol View),在视图中你能

清晰看到各个端口的名。

2.把约束文件读进来,进行对TOP设计文件的约束。

Note: 如果发现读进“source TOP.con”这条命令时,出现错误信息。请你注意当前路径是否包含TOP.con,也就是说要确保当前路径的方向下包含TOP.con约束文件。例如也可以这样解决“source scripts/TOP.con”,这里加上scripts的意思是该TOP.con的约束文件在scripts的路径下。

Task5: Compile or Map to Vendor-Specific Gates 1.对设计进行综合或映射到供应商特定的门上,即是把RTL级综合成门级。

############################################################################### 当对设计文件进行综合的时候,你可以看到底下的日志区的信息,包括不同优化综合阶段的的表。例如“AREA”指示这个设计的大小;“WORST NEG SLACK”指示有多少的关键或糟糕的路径在设计中是违反的;“TOTAL NEG SLACK”是所有路径延时违反的总和等等

############################################################################# Task6: Generate Reports and Analyze Timing

1.转到顶层的符号视图Symbol View

2.在design_vision –topo的命令行里输入以下命令

Notice:”rc”是一个引用别名,它的定义在.synopsys_dc.setup 的文件里,它执行的是report_constrain –all_violators ;

这个报告列出所有违反约束的事务,你能看见“max_delay/setup”的违反

同理:

Notice:rt=report_timing,表示时序报告

当你输入以上这条命令时,请问Slack (VIOLATED)=

########################################################################### 以上这个值为负数,即表示违法时序约束,在这个实验你不用理睬是否违反时序约束,接下来的实验会为你讲解的

##########################################################################

3.生成一个面积报告,ra,然后回答以下的问题

Total cell area:

Task7: Save the Optimized Design

1.将综合后的设计数据保存起来

2.返回到TOP的符号视图

3.选择菜单File->Save As

4.双击在mapped的路径

5.为文件命名“TOP.ddc”

6.点击保存。

7.保存输入的命令历史,通过点击Save Contents As.

Task8: Remove Designs and Exit Design Vision

1.清空所有的设计

######################################################################## ## fr=”remove_design -designs”

2.显示出当前所有的命令历史记录

########################################################################### ## h=”history”

3.退出软件

4.另一种方式来启动dc的图形界面

Notice:在这个步骤,你不用理睬以下这个错误信息“Library …TOP_LIB? already exists”

5.如果你想回到dc_shell模式时可以File->Close GUI

6.退出软件。

Task9:Using analyze and elaborate to read in an HDL design

1.进入到lab1的当前目录下

2.在dc_shell模式下,把verilog或VHDL读进来

3.在dc_shell模式下,另一种方式把verilog或VHDL读进来

4.读取设计文件用“-vcs”参数

Notice : “-vcs”不能用于VHDL的设计

Answers 问题答案

问题1答案:* sc_max.db

问题2答案:sc_max.db

问题3答案:sc.sdb

问题4答案:../ref/libs/mw_lib/sc/LM

./rtl

./scripts

Lab2

Design and Library Objects

There is NO LAB for this unit!

Lab3

Timing Constraints

一、实验目的:

1.确定用于目标库的时间单位

2.基于提供的原理图或规格说明创建一个新的DC时序约束的脚本

3.修改先前的语法约束去适应一个符合要求的设计

4.为设计提供一个约束

5.验证所施加的约束的完整性和正确性

二、实验流程:

1.得到一个库的报告去决定使用哪个单元

2.创建一个新的时序报告文件基于设计原理图或规格说明

3.对设计文件进行约束,验证所施加的约束的完整性和正确性

三、实验步骤:

Task1. Determine the Target Library’s Time Unit

1.打开终端,进入lab3文件夹的目录下

2.用一个文本编辑器去查看common_setup.tcl文件,然后回答以下的问题

问题一:目标库文件名称是什么?

3.以dc_shell的模式启动DC工具

4.一般地,当一个设计(read_verilog,read_vhdl,read_ddc,or analyze/elaborate)被读进来

的时候,目标库和连接库是要事先被读进DC内存里面的。

Notice:你不要理睬关于“Overwritint design file ../sc_max.db”

5.确定该库文件相关的库名称

问题二:目标库名?

6.生成一个库的报告

7.退出Design Compiler

8.用一个文本编辑器去查看lib.rpt的文件,并回答以下的问题

问题三:这个目标库的的Time Unit 是什么?

Task2. Create a Timing Constraints File

1.进入scripts的路径下,用一个文本编辑器去创建一个新的文件MY_DESIGN.con(即

vi MY_DESIGN.con)

问题四:推荐的第一个命令的任何约束文件是什么?

2.用Design Specification and Design Schematic ,即是上面页的表格,再选择合适的

时间单位,写一个新的约束文件MY_DESIGN.con(即在MY_DESIGN.con上添加内容)

Notice:当你没有头绪的时候,你可以参考答案!答案是一个隐藏文件的,在.solutions/MY_DESIGN.con。

Notice:可以使用DC?s help 命令,man+命令,可以了解到DC命令的语法以及其意义和作用,也可以创建一个UNIX的引用。例如

3.完成编写约束文件后,需要检查其语法是否正确

Notice:dcprocheck 是一个可执行的Design Compile语法检查的实体,它决定是否启动DC。

Task3. Apply Constrains and Validate

1.在启动DC前,进入lab3目录下,你能发现一个名称为“MY_DESIGN_LIB”的文

件,这个文件是在任务1启动DC的时候被创建的。、

2.在lab3的路径下,现在启动dc_shell,并回答以下的问题

问题5:为什么没有获得一个错误关于创建一个新的MW design library(这个库文件在之前已经存在了)

3.将设计文件读进DC去,Read,link and check the design rtl /MY_DESIG .v

4.正确地读入约束文件

5.检查有没有遗漏或矛盾的关键约束,例如

Notice:存在一个警告“there are 21 input ports that only have partial input delay specified”这个警告不用理睬。

6.修改时钟和端口约束,例如

7.

写出所施加的约束,例如

9.如果“diff”这个命令不能被识别,请用编辑器查看对比这个

“.solutions/MY_DESIGN.con”来检查这个约束报告是否正确。

10.保存设计并退出

问题答案:

问题一:sc_max.db

问题二:cb13fs120_tsmc_max

问题三:1ns

问题四:reset_design

问题五:In the dc_setup.tcl file there is an “if” statement which checks for the existence of a MW design library ,and skips the create_mw_lib command if it already

exists. If the script were to execute the create_mw_lib command and the library

already existed ,you would get the following message :

“Error:Library …MY_DESIGN_LIB?already exists

小学科学教师培训计划

小学科学教师培训计划 篇一:大溪小学科学实验教师培训计划[1] 大溪小学科学实验教师培训计划 (XX—XX学年度第一学期) 一、指导思想 坚持以新课程标准为指导,学习先进的教学理论,全面提高实验教师的业务素质。不断加强和完善实验教学条件建设,通过实验教学,培养学生的动手操作能力、创新实践能力,全面提高学生的学习兴趣和科学素质。 进一步提高小学实验的管理水平和能力,以及实验室材料实现科学化、分类、分档、档案管理,加强实验水平和实验效果,更好,更全面地实施素质教育,推进教育发展。 二、主要任务、目标 按国家教委颁布的新课程标准开齐开足实验教学课程,实验开出率尽量达到100%,引导学生基本能亲手完成各个实验,形成一定实验技能,培养科学的实践,实验,观察能力和提高学生的科学素养。 三、工作措施: 1、继续强化安全意识,确保实验室安全 确保实验室安全,明确实验室职责,定期检查灭火器材及其他设备,建立管理责任人自查,实验室组织抽查的安全检查制度。强化安全意识。以实验室安全责任人为主、实

验指导教师配合、系领导关心支持、学生配合,确保实验室全年不出现各种安全事故。 2、注重实验室队伍的建设 通过多渠道提高实验室队伍建设,加强业务水平和工作能力,改进工作态度,以实现较好的效果。 3、保质保量完成实验教学任务(来自: 小龙文档网:小学科学教师培训计划) 实验教师要精心准备实验,保证教学任务顺利完成,教学效果良好。不断学习新课程标准和一些有关业务方面的杂志等,拓宽专业口径,不断提高业务素养。加强素质教育,提高教育质量。培养具有创新精神实践能力和创业理念的应用型人才。 4、积极作好实验室日志、计划等的填写和管理 加强对仪器设备的管理、维护,做好对低值易耗品的管理。作好平时实验日志的填写。做好实验室的教学计划、日常管理、安全工作、工作日志等各种工作文件的归类、归档、整理工作。 5、完成仪器设备购置工作 落实上年仪器设备购置计划,完成实验室的更新提高,加强实验室的仪器设备的完好率。 做好本年度仪器设备购置,充分考虑学院和学科发展趋势,坚持结合实际,适当超前,防止低水平重复和积压浪

试验室人员培训计划

试验室人员培训计划 篇一:试验室培训计划 指导思想 试验室工作人员的政治思想、业务水平的高低、服务意识的强弱、工作效率的优劣,都将直接明显地影响试验室的建设、教学质量的提高以及科学研究的过程。随着仪器设备现代化水平的不断提高,仪器设备涵盖科学技术的门类愈来愈多,要管好、用好、维护好仪器设备,就要掌握多种科学技术知识,这是现代化仪器设备对试验技术人员提出的客观要求。当前的试验队伍现状远达不到这一要求,专职试验管理人员经验不足,都从一定程度阻碍了试验室的建设与试验教学水平的提高。因此,培训与组建一支数量相对稳定,能适应目前学院专业试验教学要求的试验技术管理队伍,是试验室建设与发展的当务之急。 培训计划于措施 不断充试试验技术管理队伍 为加强试验技术管理队伍建设,弥补由于专职试验工作人员不足带来 的影响,不高断提试验质量。

加强试验工作人员热爱本职工作的教育 要教育试验室工作人员正确认识试验是工作在高等学校中的地位和作用,不断的对他们进行热爱本职工作的教育,增强他们的事业心、责任感和服务意识,这是搞好试验室建设和管理工作的关键。 加强试验室工作人员的自力更生,艰苦创业的思想教育 教育试验人员树立自力更生,艰苦创业的思想,是思想教育的一个重要方面。在试验室建设中,需要增强和更新的仪器设备很多,应对试验人进行自力更生,艰苦创业的思想教育,鼓励他们开发对旧设备的改进、挖潜、修旧利废,充分发挥老设备的作用,使试验室建设逐步 得到改进。 加强试验工作人员遵守纪律,执行制度的教育 为建立良好的工作秩序,使试验教学逐步做到秩序化、规范化,必须建立、健全各项规章制度。这是顺利进行试验工作的有利保证,要教育试验人员做遵守纪律、执行制度的模范。 加强领导,抓好业务素质培训

学校实验室管理人员培训内容

实验室管理人员培训内容 如何搞好中学实验室管理 我认为作为实验室管理员,最重要的一点就是要规范地管理教学仪器,以及合理地应用教学仪器为课堂教学服务。 一、.教学仪器保管帐的管理 基本要点:建立帐页、规范记帐、增减有据、帐物相符、保留老帐。教学仪器保管帐是实验室管理的最基本的环节。规范的教学仪器保管帐是我们做好实验室管理的基础,记好教学仪器保管帐对保证实验教学任务的完成,对加强学校资产的管理具有重要作用。虽然都执行教育部统一的标准,,虽然都是同一类型的学校,但是各个学校的的教学仪器数量肯定不会一样。通过教学仪器保管帐,我们可以知道实验室有多少种教学仪器,各有多少件,从而了解教学仪器的配备状况;可以判断实验的分组数;可以核算出教学仪器的总金额,掌握学校资产情况;可以获取其他许多有用信息,所以我们必须记好教学仪器保管帐。仪器的管理是一个动态的过程,仪器总是要有进有出,记载这一动态平衡过程的载体就是教学保管帐。包括建立帐页、记帐要求、增减有据、帐物相符、老帐保存。这些是做好实验室管理的基本要求。 二、.教学仪器管理 包括仪器摆放,例如有些仪器可以放在实验室里,例如化学的试管架、方座支架等,生物的显微镜可以带箱放在实验桌的下边。物理实验室使用的方座支架由于使用频率不高不应放在实验桌上等。化学

药品要有专室存放,如果不能做到单室存放,也必须做到与其它类仪器分柜存放。要避免象天平等金属构件较多的仪器被药品腐蚀。 三、仪器说明书装订成册 任何一件仪器的正确使用都离不开说明书,即使仪器的使用方法现在弄明白了,随着时间的推移,也可能忘记,为了保管好仪器,便于使用和查找,有必要分类装订成册保存。实验室里的仪器说明书是需要长久保存重要的资料。说明书里介绍了该仪器可以完成那些实验、实验的方法和步骤,这些实验有时可能不是现行教材所要求必须完成的,但对于开拓学生的思维和培养创新能力很有作用。说明书所介绍的一些实验注意事项,课本或参考书书中没有。说明书介绍了仪器的保养方法。有些说明书还画有线路图,写有技术指标,有利于维修时的参考。 四、仪器的维护与保养 最基本的做法就是“六防”。防尘:就是要防止灰尘落到仪器的表面和内部。防潮:就是防止潮湿空气对仪器的损害。在较长时间的潮气的侵袭下,木制仪器可能变形;金属仪器可能生锈;静电仪器可能失效;蛀虫会加快繁殖;影响绝缘强度、电气性能;造成弱电仪器的接触不良……。防潮的主要措施:降低湿度,提高温度;加强自然通风;放置干燥剂。防蛀:就是防止蛀虫对仪器的破坏。剥制标本、木制仪器、挂图等是防蛀的主要对象。防蛀的主要措施:放置防蛀药品;对标本室定期薰杀灭虫。防冻:就是防止冰冻对浸制标本、化学药品等液态仪器的损害。防冻主要措施:冬季采取保温措施;保证环

最新小学科学实验培训文字稿汇总(最新)

三年级抽测实验操作内容 观察鸟羽毛特点实验 实验材料: 鸟类羽毛、剪刀、托盘、烧杯、水、滴管、放大镜等 实验步骤: 1.把一片鸟类羽毛放在手中,用手掂一掂,有什么感觉? 2.观察羽轴,用剪刀将羽轴纵向剪开,发现了什么? 3.将羽毛放在托盘上方,用滴管往羽毛上滴几滴水,又有什么发现? 4.用放大镜观察羽毛的排列顺序,观察到了什么? 实验现象: 1.羽毛掂起来很轻; 2.发现羽轴中间是空的; 3.往羽毛上滴水时水滑落到托盘上; 4.放大镜下的羽毛排列紧密有序。 实验结论: 鸟的羽毛质量很轻;羽轴是中空结构的;羽毛具有很强的防水性;羽毛排列紧密有序。这些特点都有利于它的飞行。 注意事项:使用小刀或剪刀时要注意安全。 探究土壤的成分实验 实验材料 烧杯、玻璃棒、三脚架、酒精灯、火柴、铁盘、土壤、放大镜等。 实验步骤 1.把土壤放到有纸巾的手中,用手指捻一捻,捏一捏,谈感受。 2.用放大镜继续观察。 3.把土壤放进盛有水的烧杯里,仔细观察有什么现象。 4.将其用玻璃棒进行搅拌,然后静置沉降,观察出现什么现象。 5.把土壤放到铁盘里,用酒精灯加热,有什么现象发生?闻一闻有什么气味。 实验现象 1.感觉土壤有点湿,看到纸巾上有水渍。

2.在放大镜的帮助下,发现土壤颗粒大小不同,并掺杂树叶等杂质。 3.发现有气泡冒出,说明土壤中含有空气。 4.一段时间后,沉到水底的土壤出现了分层沉降,下层是粗粒的沙,上层是很细的黏土。 5.冒烟,有一股难闻的气味,土壤颜色变浅了。 实验结论 土壤里含有水、空气;由不同物质组成;有颗粒较大的砂和很细的黏土;含有能够燃烧的腐殖质。 注意事项 1.注意土壤的选择 2.注意酒精灯的使用 3.提醒学生,刚开始加热土壤时冒出的“白烟”是土壤中的水受热变成水蒸气后又遇冷凝结而成的。 认识岩石 实验器材 多种多样的岩石、放大镜、锤子、小刀、铁砧等。 实验步骤 1、观察每块岩石的颜色。 2、拿出准备好的岩石,摸摸每块岩石的表面是光滑的还是粗糙的。观察它们的棱角是圆的还是尖的。用刀片、手指甲刻划每块岩石的表面,辨析是硬的还是软的。 3、观察岩石的内部:用锤子敲开岩石,用放大镜观察岩石的内部有什么东西。岩石内部和外部的颜色是相同的吗? 实验现象及结论 花岗岩:颜色:花斑(灰白色、肉红色);结构:块状;硬度:很硬。 砂岩:颜色:黑色、深灰色、褐红色、灰绿色,光泽较暗。结构:颗粒状;硬度:很硬。 大理岩:颜色:白色或有花纹;结构:颗粒状;硬度:较软。 注意事项 在使用锤子、小刀时,注意安全,特别是再砸岩石时不要伤到手、眼等。 探究磁铁的秘密 实验材料

DC综合全过程及使用的命令

DC综合全过程及使用的命令 DC的初始化文件.synopsys.dc.setup,该文件是隐藏文件,需要用ls –a显示 读取文件 DC支持多种硬件描述格式,.db .v .vhd等 对于dcsh工作模式来说,读取不同的文件格式需要不同的参数 Read -format Verilog[db\vhdl ect] file //dcsh工作模式 对于tcl工作模式来说,读取不同文件格式使用不同的命令。

Read_db file.db //tcl工作模式读取db格式 Read_verilog file.v //tcl工作模式读取verilog格式 Read_vhdl file.v //tcl工作模式读取vhdl格式 读取源程序的另外一种方式是配合使用analyzer命令和elaborate命令; Analyzer是分析HDL的源程序并将分析产生的中间文件存于work(用户可以自己指定)的目录下 Elaborate则在产生的中间文件中生成verilog的模块或者VHDL的实体 缺省情况下,elaborate读取的是work目录中的文件 当读取完所要综合的模块后,需要使用link命令将读到DC存储区中的模块或实体连接起来 注意:如果在使用link命令后,出现unresolved design reference的警告信息,需要重新读取该模块,或者在.synopsys_dc.setup 文件中添加link_library,告诉DC到库中去找这些模块,同时还要注意search_path中的路径是否指向该模块或单元电路所在的目录 Link命令执行后,DC就将模块按照RTL级或者门级的描述将电路连接起来,之后的各种限制条件就可以对该电路的顶层模块施加 DC限制条件施加的对象 针对以下电路成分:输入输出端口、模块、子模块的实例化、单元电路、连线及电路的引脚。在使用DC命令的时候,不要使用模糊的对象,例如,如下面的电路: Set_load 3 Clk 由于在电路中含有名称为CLK的pin、port和net,因此,DC无法知道该处的load是施加在哪个对象上,注意到一般连线会驱动负载,该命令就改为: Set_load 3 [get_nets Clk] TCL mode Set_load 3 find(net,”Clk”)dc_shell mode 路径的划分与合法路径 DC综合的时候是基于路径的优化,对于DC来说,合法的路径必须满足以下条件 路径的起点为输入端口或者是触发器的数据端 路径终点为输出端口或者是触发器的时钟端 DC设定的限制条件的值都是无单位的,这些单位都是由工艺库中给定的 可以用report_lib lib_name来查看所有在工艺库中设定的各个参数的单位 定义电路的工作环境和综合环境

实验室培训计划doc:实验室培训计划

实验室培训计划doc:实验室培训计划 指导思想 实验室工作人员的政治思想、业务水平的高低、服务意识的强弱、工作效率的优劣,都将直接明显地影响实验室的建设、教学质量的提高以及科学研究的过程。随着仪器设备现代化水平的不断提高,仪器设备涵盖科学技术的门类愈来愈多,要管好、用好、维护好仪器设备,就要掌握多种科学技术知识,这是现代化仪器设备对实验技术人员提出的客观要求。我院当前的实验队伍现状远达不到这一要求,专职实验管理人员数量不足,学历与职称结构不合理,都从一定程度阻碍了实验室的建设与实验教学水平的提高。因此,培训与组建一支数量相对稳定,能适应目前学院专业实验教学要求的实验技术管理队伍,是实验室建设与发展的当务之急。 培训计划于措施 不断充实实验技术管理队伍 为加强实验技术管理队伍建设,弥补由于专职实验工作人员不足带来的影响,不断提高实验教学质量,学院决定从引进的硕士生和博士生中,择优聘任部分人担任兼职实验技术与管理工作,具体实施办法参照学院制定的《兼职实验技术人员聘用与管理办法》执行。 加强实验工作人员热爱本职工作的教育 要教育实验室工作人员正确认识实验是工作在高等学校中的地位和作用,不断的对他们进行热爱本职工作的教育,增强他们的事业心、责任感和服务意识,这是搞好实验室建设和管理工作的关键。

加强实验室工作人员的自力更生,艰苦创业的思想教育 教育实验人员树立自力更生,艰苦创业的思想,是思想教育的一个重要方面。在实验室建设中,需要增强和更新的仪器设备很多,由于学校投资限制,应对实验人呀un进行自力更生,艰苦创业的思想教育,鼓励他们开发对旧设备的改进、挖潜、修旧利废,充分发挥老设备的作用,使实验室建设逐步得到改进。 加强实验工作人员遵守纪律,执行制度的教育 为建立良好的工作秩序,使实验教学逐步做到秩序化、规范化,必须建立、健全各项规章制度。这不仅是顺利进行实验工作的有利保证,它还直接关系到对学生的严谨的科学作风和严格的科学态度的培养。要教育实验人员做遵守纪律、执行制度的模范。 加强领导,抓好业务素质培训 为加强对实验室工作的领导,学院设一名副院长分管实验室工作,三个专业实验室各设一名实验室主任,全面负责本专业的实验室建设工作。实验室主任应加强对实验室工作人员的领导,针对本实验室特点,制定切实可行的培训方案,使实验室工作人员的业务素质得到全面培训,不断提高工作水平。 加强对实验室工作人员的考核 考核是对培训结果、实验室工作人员素质及工作态度、工作成果的检查,各实验室主任具体负责这项工作。具体考核内容包括:(1)政治思想表现,即实验人员应遵守的共同规范;(2)根据岗位责任制和具体承担的任务进行考核;(3)所负责的仪器设备的维护、修理、利用

synopsys DC10.03图文安装配置详解

喾林原创 Synopsys DC10.03安装配置 1、需准备安装包: 1)、Synopsys DC(design compiler)安装包 2)、SCL 安装包(注:此包为synopsys license 管理)。 3)、Synopsys 图像安装工具:installer2.0及以上均可。 (注:图形安装操作简单,不易出错,故采用图形安装界面) 4)、Synopsys license 制作工具( EFA LicGen 0.4b 和Synopsys SSS Feature Keygen )。 2、开始安装DC : 1)、启动图形安装界面 于linux 系统下解压installer2.0包(在windows 下解压文件易损坏)。解压后运行setup.sh 得如图(一)所示界面。 图 (一)

喾林原创点击“start ”有如图(二)所示界面开始安装。在“Source ”栏选中DC 安装文件所在上层目录。“Done ”后“Next ”(此次“Next ”时间较长,耐心等待)。 图 (二) 之后可一直“NEXT ”到如图(三)所示。 图 (三)

在该界面勾选linux选项即可,继续下一步到如图(四)所示。选择安装路径后继续下一步直到结束。 喾林原创 图(四) 至此DC安装结束。 3、开始安装SCL: 此安装与DC安装步骤一直,几乎没有差别,唯一不同的就是安装路径不同。 4、license的制作: License的制作是在windows下制作的。

1)、打开EFA LicGen 0.4b文件夹运行LicGen.exe程序出现如图(五)所示界面。 喾林原创 图(五) 点击“OPEN”选择Synopsys.lpd文件,“打开”。回到图(五)所示界面。勾选上Custon、Use Daemon及最后一个Custon。

小学科学教师实验培训心得体会

小学科学教师培训心得体会 龙门中小乔丽平 2014年10月26日、27日,县教育局组织了小学科学教师培训,在东关小学举行。我有幸参加了这次培训。按培训要求,每个教师要聆听专家培训,听优秀教师示范课,还要观摩东关小学仪器室。根据参会人数,分两组同时进行,专家评委现场点评,参会教师参与研讨,提出自己的意见建议,每个学区选出1人进行发言。这次培训,我认真倾听并记录了专家教师的讲课内容。这次培训,让我学到了很多东西,受益匪浅。 经过了这次培训活动,我在各个方面收获甚大,体会最深的有以下几点: 一、学生是科学学习的主体。 学生具有强烈的好奇心和积极的探究欲,学习科学应该是他们主动 参与和能动的过程。科学课程必须建立在满足学生发展需要和已有经验的基础之上,提供他们能直接参与的各种科学探究活动。让他们自己提出问题、解决问题,比单纯的讲授训练更有效。教师是科学学习活动的组织者、引导者和亲密的伙伴,对学生在科学学习活动中的表现应给予充分的理解和尊重,并以自己的教学行为对学生产生积极的影响。 二、科学学习要以探究为核心。 “科学学习要以探究为核心,让学生亲历科学探究过程”这是新课程标准给我们提出的要求。开展有效的科学探究活动,让学生掌握探究的基本方法,用科学思维方式获取知识,这是科学探究过程中培养学生科学思维能力的有效途径。 探究既是科学学习的目标,又是科学学习的方式。亲身经历以探究为主的学习活动是学习科学的主要途径。科学课程在培养学生的创新性学习的能力基础上,向学生提供充分的科学探究机会。创新性学习是一种对未来的预期与前瞻的意识。在科学课的学习过程中,充分发挥这种意识的作用,使儿童在像科学家那样进行科学探究的过程中,体验学习科学的乐趣,增长科学探究能力,获取科学知识,形成尊重事实、善于质疑的科学态度。

synopsys简易教程

以.cshrc 及用户根目录下的.synopsys_vss.setup .synopsys_dc.setup 已经配置为前提)1.创建工作目录; 2.编写vhdl源程序; 3.编写.synopsys_vss.setup 和.synopsys_dc.setup文件; 4.编译vhdl源程序; 5.运行vhdldbx 仿真器; 6.运行synopsys的综合器; 7.完成综合后的门级仿真; 以一个一位加法器为例,进行具体说明(用户界面为CDE): 1)创建adder 目录: 可以在资源管理器中完成,也可以在unix环境下完成:mkdir adder; 2)在adder目录下创建work目录; 3)编写.synopsys_vss.setup文件并存入adder目录;.synopsys_vss.setup的内容如下:WORK >DEFAULT DEFAULT:work TIMEBASE = NS 4)编写一位加法器的源程序(adder1.vhd)并存入adder目录下: library ieee; use ieee.std_logic_1164.all; entity adder1 is port(din1 : in std_logic; din2 : in std_logic; cin : in std_logic; dout: out std_logic; cout: out std_logic); end adder1; architecture rtl of adder1 is begin dout <= din1 xor din2 xor cin; cout <= (din1 and din2) or (cin and (din1 xor din2)); end rtl; 5)编写一位加法器的测试基准(即test_bench)并存入adder目录下:(tb_adder1.vhd)library ieee; use ieee.std_logic_1164.all; entity tb_adder1 is end tb_adder1; architecture rtl of tb_adder1 is component adder1 is port(din1 : in std_logic; din2 : in std_logic; cin : in std_logic;

小学科学培训学习心得

小学科学培训学习心得(精选多篇) 第一篇:小学科学老师培训学习心得 争做一名优秀的科学老师 ——小学科学老师培训学习心得 东二小学梁炳辉 上周,我参加了桂城街道教育局组织的小学科学学科的培训活动,通过这次科学科老师的培训,改变了以往自己对科学教学的一些偏见,让我对科学学科又有了新的认识,更重视科学课的教学,受益非浅,对自己在今后工作中认真上好科学课,不断充实自己,发现自己,完善自我,争做一名优秀的科学老师有着深刻的意义。通过这次认真的培训和自己的努力学习,我感到收获很大,下面我就此向大家汇报一下我本次培训学习的感受,希望与大家共勉。 讲座中,东二小学吴青老师作了《科学课外小制作的组织与辅导》的专题讲座培训,讲座中,吴老师具体讲述了科学中如何组织学生进行科学实验和课外小制作的有关问题。特别是课外组织学生进行小制作的让我感受最深,听了讲座后,我明白了科学课的宗旨是“培养学生的科学素质”,以及“以学生为主体,探究活动为核心”的教学理念。而作为科学的实验必须要让学生做到:“学中玩,玩中学”,让学生在有规范的玩中学习科学,感觉科学学习的趣味性,从而提高学生学习科学的兴趣,激发学生的求知欲。作为科学课的重点

是科学实验,科学实验是研究自然现象的重要手段,也是培养学生动手操作能力的重要途径。科学课的这些探索性实验,除了堂上的科学实验,还应延伸到课外的小制作,科学老师应注意指导学生操作观察,分析实验结果,不仅有利于拓宽学生视野,更有利于学生深入领会课本的理论知识。这样不仅使学生学的兴趣盎然,学的主动,而且学到了许多书本上学不到的东西,丰富了学生的课余生活。 科学课是一门非常重要的自然学科,上好科学课不是件容易之事儿,教师除了有渊博的知识外,教师更应有理论教学与实际应用相结合的教学理念,真正做到“学科学,用科学”。以往我对科学的教学没有足够的重视,课后不会进行反思和经验积累,所以从教科学多年,在科学的教学中都没有很大的进步,更忽略了学生撰写小论文的辅导。但听了中心小学彭老师作的《科技小论文的撰写》的讲座后,让我懂得了一个学科的发展,必须要走“学习——反思——研究——实践”相结合的发展路线。科学老师更要注重学生的科学小论文的撰写,让学生在科学学习中从感性认识到理性认识有一个跃进。科学小论文实际上是同学们在课内外学科学活动中进行科学观察、实验或考察后一种成果的书面总结。它的表现形式是多种多样的:可以是对某一事物 进行细致观察和深入思考后得出结论;可以是动手实验后分析得出的结论;也可以是对某地进行考察后的总结;还可以靠逻辑推理得

小学科学教师培训心得

小学科学教师培训心得 经过了这次培训活动,我在各个方面收获甚大,体会最深的有以下几点: 一、学生是科学学习的主体。 学生具有强烈的好奇心和积极的探究欲,学习科学应该是他们主动参与和能动的过程。科学课程必须建立在满足学生发展需要和已有经验的基础之上,提供他们能直接参与的各种科学探究活动。常山县教研室——江根祥提出让他们自己提出问题、解决问题,比单纯的讲授训练更有效。教师是科学学习活动的组织者、引导者和亲密的伙伴,对学生在科学学习活动中的表现应给予充分的理解和尊重,并以自己的教学行为对学生产生积极的影响。 二、科学学习要以探究为核心。“科学学习要以探究为核心,让学生亲历科学探究过程”这是新课程标准给我们提出的要求。开展有效的科学探究活动,让学生掌握探究的基本方法,用科学思维方式获取知识,这是科学探究过程中培养学生科学思维能力的有效途径。 探究既是科学学习的目标,又是科学学习的方式。亲身经历以探究为主的学习活动是学习科学的主要途径。地球科学是小学科学领域比较难的一块内容。在太阳系组成中,各个行星的大小和与太阳的距离空间感较强,对于同学们理解困难。但是中山小学的姜老师给与我们一个很好的体会。让在座的

科学教师模拟太阳系空间,构造一种空间模型,让我们形象直观的认识到空间的距离和大小问题。由此可见科学课程在培养学生的创新性学习的能力基础上,向学生提供充分的科学探究机会。创新性学习是一种对未来的预期与前瞻的意识。 在科学探究的过程中,我们还应该多从身边的事物寻找。江山市教研室徐老师的《认识身边的植物》仔细描述了我们周围的植物,便于研究。这也在提醒我们,科学即生活。科学课的学习过程中,充分发挥这种意识的作用,使儿童在像科学家那样进行科学探究的过程中,体验学习科学的乐趣,增长科学探究能力,获取科学知识,形成尊重事实、善于质疑的科学态度。 三、科学课要培养学生良好的学习习惯。 要培养科学课的课堂常规,如有条不紊的开展实验,实事求是的科学态度,及时地记录观察到的现象,能长期坚持观察记录,能倾听别人的发言,能提出自己的见解等。四、科学教师要树立终生学习的意识,不断提高自身的科学素养。 科学课的内容繁多,涉及到物理、化学、生物、天文、地理等各个方面的知识,对教师的知识要求很高,因此教师要及时补充知识储备,同时要学会科学探究的技能,具备科学的态度和价值观,这样科学教学质量会更高。 经历了这次培训,我深刻体会到有太多的知识需要我去学习,

启动dc_shell工具的.synopsys.setup文档

设置启动dc_shell-t工具的 .synopsys.setup文件 Author:周建伟 Company:西安邮电大学SOC组 Date:2013.10.30 摘要:若你在读不进你的库,即在你的运行报告中总是有:warning:Can?t read link_library file …your_library.db?,这边文档会对你有一定的帮助

逻辑综合环境 启动文件 启动文件用来指定综合工具所需要的一些初始化信息。DC使用名为“.synopsys_dc.setup”的启动文件(位置:inst_dir/admin/setup/.synopsys_dc.setup)。启动时,DC会以下述顺序搜索并装载相应目录下的启动文件: DC安装目录($DC_PATH/admin/setup) 用户主目录 工具启动目录 注意:后装载的启动文件中的设置将覆盖先装载的启动文件中的相同设置 本文档重在讲述怎么设置工具启动目录 1、把inst_dir/admin/setup/.synopsys_dc.setup文件拷贝到你DC脚本目录下(也就是和你 脚本在同个目录下) 2、在.synopsys_dc.setup文件的第92行,即set link_force_case “check_reference”命令下 修改内容如下: A、set lib_path /library/smic18/feview~2/version2.2(注:lib_path为你smic18库安装 目录,不同于DC安装目录) B、set link_library [list * $lib_path/STD/Synopsys/smic18_ss.db \ $lib_path/IO/Synopsys/smic18IO_line_ss.db \ $lib_path/IO/Synopsys/smic18IO_stagger_ss.db ] C、set search_path [list . ${synopsys_root}/libraries/syn ${synopsys_root}/dw/syn_ver \ ${synopsys_root}/dw/sim_ver \ $lib_path/STD/Synopsys $lib_path/IO/Synopsys ] D、set target_library [list $lib_path/STD/Synopsys/smic18_ss.db \ $lib_path/IO/Synopsys/smic18IO_line_ss.db \ $lib_path/IO/Synopsys/smic18IO_stagger_ss.db ] E、set synthetic_library “” set command_log_file “./command.log” set designer “zjw” set company “soc of xupt”

小学科学学习培训资料.

小学科学学科《课标目标与教材解析》教师培训材料 【一】、贯彻落实“课程标准”中的目标要求 一、总目标 通过科学课程的学习,知道与周围常见事物有关的浅显的科学知识,并能应用于日常生活,逐渐养成科学的行为习惯和生活习惯;了解科学探究的过程和方法,尝试应用于科学探究活动,逐步学会科学地看问题、想问题;保持和发展对周围世界的好奇心与求知欲,形成大胆想象、尊重证据、敢于创新的科学态度和爱科学、爱家乡、爱祖国的情感;亲近自然、欣赏自然、珍爱生命,积极参与资源和环境的保护,关心科技的新发展。 二、分目标 (一科学探究 1、知道科学探究涉及的主要活动,理解科学探究的基本特征。 2、能通过对身边自然事物的观察,发现和提出问题。 3、能运用已有知识作出自己对问题的假想答案。 4、能根据假想答案,制定简单的科学探究活动计划。 5、能通过观察、实验、制作等活动进行探究。 6、会查阅、整理从书刊及其他途径获得的科学资料。 7、能在已有知识、经验和现有信息的基础上,通过简单的思维加工,作出自己的解释或结论,并知道这个结果应该是可以重复验证的。 8.能用自己擅长的方式表达探究结果,进行交流,并参与评议,知道对别人研究的结论提出质疑也是科学探究的一部分。

(二情感态度与价值观 1、保持与发展想要了解世界、喜欢尝试新的经验、乐于探究与发现周围事物奥秘的欲望。 2、珍爱并善待周围环境中的自然事物,初步形成人与自然和谐相处的意识。 3、知道科学已经能解释世界上的许多奥秘,但还有许多领域等待我们去探索,科学不迷信权威。 4、形成用科学提高生活质量的意识,愿意参与和科学有关的社会问题的讨论与活动。 5、在科学学习中能注重事实,克服困难,善始善终,尊重他人意见,敢于提出不同见解,乐于合作与交流。 6、意识到科学技术对人类与社会的发展既有促进作用,也有消极影响。 (三科学知识 1、学习生命世界、物质世界、地球与宇宙三大领域中浅显的、与日常生活密切相关的知识与研究方法,并能尝试用于解决身边的实际问题。 2、通过对物质世界有关知识的学习,了解物质的常见性质、用途,对物体的运动、力和简单机械,以及能量的不同表现形式具有感性认识。 3、通过对生命科学有关知识的学习,了解生命世界的轮廓,形成一些对生命活动和生命现象的基本认识,对人体和健康形成初步的认识。 4、通过对地球与宇宙有关知识的学习,了解地球、太阳系的概况及运动变化的一般规律,认识人类与地球环境的相互作用,懂得地球是人类惟一家园的道理。 三、各部分目标的相互关系

实验室人员培训计划

实验室人员培训计划 LEKIBM standardization office【IBM5AB- LEKIBMK08- LEKIBM2C】

实验室人员培训计划 一.培训目的 通过培训,使实验室的人员了解各自的职责。实验员通过培训掌握一些有关的法律法规,另外掌握仪器设备的使用与维修、国标方法和实验操作与记录等一些基本技能,最终可以对实际样品进行正确的分析。 二.培训要求 熟悉与检测相关的各种法律法规,掌握仪器设备的使用、清晰与维修,了解所用的国标方法并判断是否能在实验室中应用,熟悉实验操作,并且会填写各种实验记录。 三.培训内容 1、培训条件 处理中心实验室已有的设备,并且均要通过检定或校准。质量负责人可以进行法律法规的宣讲。技术负责人可以对实验员进行仪器设备的使用与维修、操作、国标方法等培训。 2、对实验员的要求 (1)要求实验室的人员了解各自的职责。 (2)要求实验室的人员了解一些相关的法律法规。 (3)要求实验员对自己所要用的仪器设备的使用方法要熟练掌握,还要会解决一些实验中常见的仪器故障。 (4)要求实验员掌握自己所用的方法,了解方法的原理并且该方法可以再现有的条件下使用。 (5)要求掌握标准物和试剂的配置与保存。

(6)要求会接收样品。 (7)要求能准确配置标准系列并且会做加标回收。 (8)要求会填写实验室里的各种实验记录。 (9)要求会处理实验操作中的废液。 (10)要求了解质控图并且会根据质控图评价数据。 四.培训的具体内容 1.宣贯各种相关的法律法规; 2.安全意识:①做实验前,明文规定穿好实验服。②盘查易忘疏漏的水电,下 班前关闭所有水电后签字离开。③分清药品理化性质,防止药品交叉污染与安全。④做一份有毒试剂的记录,了解各个有毒试剂的毒理性指标。 3.标准学习:①熟练掌握常规标准,识记方法并比较同一检测项目不同检测 方法测定范围、检出限、实验步骤及计算结果(如氨氮的测定方法)。②提醒实验人员注意标准方法的更新,利用闲暇时间学习国标,拓展自己的专业知识面。③培训组员学习质量控制分析的方法,先做好平行比对、标准曲线的绘制,学会找原因,归纳问题并解决问题。 4.实验分析:①药品配置:如何配置药品,过期药品的处理,做好登记并及时 配好药品,确保实验及时高效进行。培训组员做到按需配药,不浪费药品。 每个月清点试剂并做好记录,填写采购申请单交由实验组长申购,保证实验正常开展。②仪器:使用前要进行校准和检定,哪些仪器需要检定等。③水样保存:归纳样品保存方法,对不能及时分析的样品,严格按照标准方法进行保存,在有效期内及时对样品进行数据分析。在做好当天水质分析后,同时保存一份水样,在有效期内分析,比较当天测定及保存后测定的数据并做

小学科学教师读书心得

《小学科学教师实验教学指导》读书心得 农安镇第三中心小学高柱 最近,我认真的学习了《小学科学教师实验教学指导》,感觉受益匪浅。这本书针对小学科学教学必须的实验教学内容,编撰了实验教学的概述、经典案例、仪器操作技能和方法以及自制教具。该书紧扣国家科学(3-6年级)课程标准,共编入80个经典实验教学的案例,34种仪器的使用方法,21种自制教具。在案例、自制教具部分对许多实验或教具制作提供了多种方法。这本书是一本教师用书,是一本针对小学科学教师的入门指导用书,对小学科学教师的专业素质提升具有非常重要的作用。 这本书第一章《概述》介绍了如何上好科学实验第一课、科学实验的类型、实验学业考核方略及实验室管理制度,对于一个刚接触科学教学的教师来说非常重要,具有很好的指导作用。其中上好科学实验第一课第二点提到“2、确定实验探究的目标要具体。实验目标既体现了老师对学生实验的期望,也会成为学生衡量自己在实验中成就如何的标准,对于刚参与课堂实验的小学生来讲,实验目标的确立应该具体、明确。”关于这一点,我深有同感。我们很多老师在上实验课时,常常会因为要求不够明确而使实验变得放任自由,达不到教学目标。比如三年级科学教材中,有一个观察蜗牛的实验,我在第一个班进行教学时没有注意目标的具体化,只是让孩子们自行在草丛中进行寻找观察。孩子们十分新奇兴奋,在草丛中、树底下扒呀,找呀,叫呀,不亦乐乎。可回到教室让他们汇报观察结果,却发现他们对于蜗牛习性的了解仍旧是一篇空白。经过反思后,我在第二次教学时给学生提出了一些明确的观察目标:蜗牛会选择什么样的食物;蜗牛怎样吃食物;蜗牛是怎样运动的……有了明确的目标,学生的观察就有一定的针对性,教学效果也明显不同了。书上还说“对于刚接触课堂实验的学生来说,要在一项实验中完成多项实验目标,容易引起注意力的分散。学生最初的探究兴趣源于自身的喜好,容易停留在自己最初发现的事物特征上,在教学交流活动中场面虽然热闹,但缺少共同关注的对象,不利于探究方法的指导,而对于刚刚接触科学实验的学生来讲,适当的实验指导是很有必要的。所以,实验目标要尽量单一。”让学生在一项试验中完成一项目标,能集中学生的注意力,很好地完成教学目的。观察蜗牛的实验目标就可以分段提出,比如说:第一次实验重点观察蜗牛是怎样

实验室人员培训与能力评估管理制度汇编

学习-----好资料 1 .目的(Purpose) 规范实验室人员培训和考核制度,保证中心人员技能满足病人质量服务要求和中心学科发展 的J需^<。 2. 范围(Scope) 本文件所发放科室所有工作人员、实习人员、进修人员等。 3. 培训方法(Training methods) A. 阅读相关文件。 B. 由合格人员进行示范或演示。 C. 在合格的带教人员监督下执行操作。 D. 其他:包括自学、讨论、上课等。 4. 考评方法(Evaluation methods) A. 评估试验操作:对盲样、已知结果的样本、或室间质评样品进行操作检测。试验结果备 存一份。 B. 理论考核:对相关知识的理解、解决问题的能力。考核试卷一同备存。 C. 直接观察病人标本操作:常规操作是否满足作业指导书的要求,包括样品处理,检测过程分析,及结果报告,仪器维护操作等。 D. 评估解决问题的能力:对口头提出的相关的问题的反应等。 E. 回顾关键要素的记录:如质控记录、室间质评记录、仪器维护记录等。 F. 直接观察仪器维护与功能检查情况。 G监测试验结果报告与记录。 5. 培训与考评时机(Opport un ity for training and evaluati on) A. 新员工入职后一般三个月内需进行培训和考评,详见“培训/考评内容及目标”章节。 B. 老员工轮岗到新科室后一般六个月内需进行培训和考评,详见“培训/考评内容及目标” 早节。 C. 新员工正式录用后第一年内,至少每半年进行一次能力评估,老员工工作一年内至少进 行一次工作能力评估,对每个员工的能力评估必须包括详见《实验室人员工作能力评估表》

小学科学学科培训心得体会

学科培训心得体会 20xx年xx月xx日,我有幸参加了xx市教师进修学校举办的小学科学教师的理论与实践培训活动,感到受益匪浅。此次培训时间紧,内容丰富,培训不单单在理论上有依据、在实践中有实例,而且又能从实践中回到理论,找到焦点,指导实践,进行操作。此次培训活动使我提高了自己对科学的认识,科学教学理念得到了革新,升华了自己的科学教学理论水平,对自己在今后开展科学教学工作有着重要的指导意义。下面谈谈我本次培训学习的感受: 为期两天的培训,来自xxx市的优秀科学教师xxx及xxx市xxx 学校的xxx老师,以生动的课堂教学阐释了科学教学的意义和方法,在科学定义、处理教材、信息技术与科学的整合、单元课教学内容梳理、实验操作演示等,包罗万象,给每位老师上了两节生动的课。下面就从四方面谈谈我的体会: 一、培训使我重新定位了自己在科学教学中的角色:通过学习使我的思想有了转变,我认识到作为一位科学教师,必须具有渊博的科学知识,熟练的操作技能,良好的思维品质。在科学的探究过程中,教师不再把科学知识的传授作为自己的主要教学任务和目的,也不再把课堂的宝贵时间花费在检查学生对知识掌握的程度上,而是成为学习共同体中的成员,在问题面前教师和孩子们一起寻找答案,在探究科学的道路上教师应该成为学生的伙伴和知心朋友,成为一名引导者和领路人,陪着孩子们一起去探究一起去寻找答案。 二、培训使我重新认识了科学课堂教学的教学方法。

1、科学课堂教学强调从问题入手。以问促学,以问导学,以问题为学习主线,教师和学生通过观察实验探究得出结论。我们应该在课堂教学中努力创设情境从问题入手,使学生带着愿望去学习。那么作为老师应该让学生掌握解决问题的方法,而不是简单的告知答案,把探究的时间还给学生,只有这样,从问题提出,经过一系列的研究,运用一系列的方法,到最终解决,这才是教学的全过程。在经历过程和运用方法的研究过程中,学生必然会获得相关的知识,掌握一定的技能,发展一定的情感态度价值观,那么教学目标就不难达成了。 2、把探究摆在首位。科学课堂上没有什么比探究更重要。《科学课程标准》指出:“科学学习要以探究为中心。探究既是科学学习的目标,又是科学学习的方式。亲身经历以探究为主的学习活动是学生学习科学的主要途径。”探究既是科学学习的目标和方式。亲身经历以探究为主的学习活动是学习科学的主要途径。科学课程在培养学生的创新性学习的能力基础上,向学生提供充分的科学探究机会。在科学课的学习过程中,要使儿童在像科学家那样进行科学探究的过程中,体验学习科学的乐趣,增长科学探究能力,获取科学知识。当然探究不是学习模式,他需要和别的教学模式相互作用,才能达到理想的效果。 三、培训使我认识到科学课一定要培养学生良好的科学习惯,培养学生的科学素养。现在的科学课研究内容不再局限于研究自然领域,更多地和我们的生活结合在一起,如科技与人类社会的关系、对人体自身的认识、环境保护教育等内容。这对于全面培养学生的科学

关于小学科学实验教学的专题培训

【科学教材问题库】关于小学科学实验教学的专题培训 2012-02-29 16:22:55, 教研室, 6767, 5/196, 原创, (6) 我们都知道,小学科学是以培养学生科学素养为宗旨的科学启蒙课程,同时又是一门以实验为基础的综合性学科。那么,在小学科学课堂上,实验教学以独特的魅力,吸引着学生步入奇妙的科学殿堂,激起学生的创新潜能。对于培养学生的动口、动手、动脑能力,以及培养他们的科学探究的意识创新精神都有着其他教学手段不可替代的作用。 随着我区小学科学近几年教研教学水平的不断提升,涌现出了一大批中青年骨干教师,他们不但带动了我区小学科学教学水平的发展,丰富了我们的科学教学课堂,同时也给予了学生们更多的来自科学领域的奇思妙想,提升了学生们的探究意识与能力。但是在蓬勃发展的同时,听课调研中不难发现,实验教学的开课率,以及有效率,颇不尽如人意:实验课开不全、实验操作不规范、甚至把教材中的实验变成老师口中的“纸上谈兵”等等不利于学生科学能力发展的现象,时有发生。针对以上在小学科学课堂上所出现的问题,开学伊始,我想有必要和老师们强调实验教学的重要性,希望在引起老师们对实验教学的重视的同时,就小学科学实验教学的相关内容与老师们展开今天的专题培训。 其实,我们都知道:实验与实验教学其实是两个完全不同的概念,但就小学科学的教学而言,两者又有着千丝万缕的关系,我们只有搞懂了科学课堂上的实验,才能更好地完成我们的实验教学,为此,本次培训,就从“实验”这个话题开始,努力帮助老师们领悟高效实验教学的真谛。 第一部分:实验的类型及本学期实验教学的概况; 实验的类型有很多种,从实验的目的分,可以分为:验证性实验、模拟性实验、探究性实验。如:四年级第三单元的《辨别食物中的营养成分》中的“淀粉的辨别方法”、六年级第二单元的《小苏打和白醋的变化》中的“小苏打和白醋混合后的变化”等实验都属于验证性实验,都是针对这一原理的描述或者根据已知的实验结论,按特定的实验程序,再现原理或原有结论所描述的现象。通常在进行验证性实验之前,教师一定讲清楚要求及注意事项,否则就不能达到应有的结论。而模拟实验更是充斥了六年级《宇宙》这一单元,模拟日食、月食,模拟地球公转、自转等等,都是帮助学生认识宏观或微观领域的自然现象,从而更好地完成学习任务;探究性实验一般包括:提出问题、做出预测、设计证明预测是否正确的实验计划、获取实验现象、对实验现象进行分析后得出自己的见解等几个环节。比如:用导线连接电路、设计、做个小开关等,这种实验更多的是体现学生的设计,鼓励学生的创新。 从实验的形式上分,又可以分为:演示实验、分组实验、观察实验; 演示实验属于直观教学,它的基本方式是教师根据教学目标的要求,演示实验过程,展示科学现象,引导学生观察、思考、分析实验现象,从而得出结论的实验,这种实验对科学教师的要求很高,需要把实验做准确,做规范;分组实验则是在教师的指导下,按一定的实验教学程序,在预定时间内,由学生完成实验操作的活动。其目的就是培养学生的观察能力和操作能力。而观察实验则是指引导学生用五种感觉——视、听、味、嗅、触和一些工具来认识事物和自然现象。这是最基本的科学技能。 了解了小学科学实验教学的类型,就让我们一起来看看本学期,各个年级都有哪些实验。 我们是按实验形式上来看的,其中,三年级下学期,有“研究根的作用、水在什么温度时结冰、凝结实验、磁极与方向”4个演示实验,以及“测量水的温度、辨别铁质材料、磁铁

相关文档
相关文档 最新文档