文档库 最新最全的文档下载
当前位置:文档库 › 数字电子技术译码器教案

数字电子技术译码器教案

数字电子技术译码器教案
数字电子技术译码器教案

译码器教案

教学内容:译码器

教学重点:二进制译码的原理及应用

教学难点:原理分析和集成电路的功能扩展

教学方法:仿真演示

教学过程:

一、复习导入新课

本次课我们学习的内容是译码器,译码是编码的逆过程,我们先复习一下编码的概念,什么叫编码?

答:用二进制代码表示文字、符号或者数码等特定对象的过程,称为编码。

比如:要给每一位同学编一个二进制代码,就是编码。那么我们再复习一下,问题:全班有42名同学,要给每一位同学编一个二进制代码,需几位二进制代码才能完成编码?

答案:N位二进制代码可以表示2N个信号,则对M个信号编码时,应由2N ≥M来确定位数N。25=32<42,26=64>42,故选N=6,即用6位二进制数可对42名同学进行编码。

对每位同学编码以后,我只需要叫同学的代码,相应的同学就会答应,这就是译码。

二、新课

(一)二进制译码器

1、译码及译码器

译码:编码的逆过程,将编码时赋予代码的特定含义“翻译”出来。

译码器:实现译码功能的电路。

常用的译码器有二进制译码器、二-十进制、译码器和显示译码器等。

2、二进制译码器

输入:二进制代码(N位),

输出:2N个,每个输出仅包含一个最小项。

比如:输入是三位二进制代码、有八种状态,八个输出端分别对应其中一种输入状态。因此,又把三位二进制译码器称为3线—8线译码器。

3、3线—8线译码器集成电路74LS138的逻辑功能及内部电路分析

见ppt,通过点击“仿真”按钮播放工作过程影音文件

强调分析:低电平有效,使能端

74LS138的逻辑功能:

三个译码输入端(又称地址输入端)A2、A1、A0,八个译码输出端Y0~Y7,以及三个控制端(又称使能端)S1、S2 、S3 。

S1、S2 、S3 是译码器的控制输入端,当S1 = 1、S2 + S3 = 0 (即S1 = 1, S2 和S3均为0)时,G S输出S为高电平,译码器处于工作状态。否则,译码器被禁止,所有的输出端被封锁在高电平。

当译码器处于工作状态时,每输入一个二进制代码将使对应的一个输出端为低电平,而其它输出端均为高电平。也可以说对应的输出端被“译中”。

74LS138输出端被“译中”时为低电平,所以其逻辑符号中每个输出端上方

均有“—”符号。

4、应用举例

(1) 、功能扩展(利用使能端实现) ,见ppt

(2) 、实现组合逻辑函数F(A,B,C)见ppt

通过点击“仿真”按钮超链接到仿真软件"D:\Program Files\Labcenter Electronics\Proteus 7 Professional\BIN\ISIS.EXE"仿真演示。

(二)二__十进制译码器

二__十进制译码器的逻辑功能是将输入的BCD码译成十个输出信号。

逻辑符号和功能表见ppt

相关分析(略)

三、总结(略)

课后练习

如何用74LS138译码器实现如下逻辑函数?

教材上作用布置,见ppt。

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 A 卷 考试形式 闭 卷 考核类型 考试 本试卷共 4 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每小题2分,共10分) 1.二进制数(1011.1001)2转换为八进制数为 (13.41) ,转换为十六进为 B9 。 2.数字电路按照是否具有记忆功能通常可分为两类: 组合逻逻辑电路 、 时序逻辑电路 。 3.已知逻辑函数F =A ⊕B ,它的与非-与非表达式为 ,或与非表达式 为 。 4.5个变量可构成 32 个最小项,变量的每一种取值可使 1 个最小项的值为1。 5.555定时器构成的施密特触发器,若电源电压V CC =12V ,电压控制端经0.01μF 电容接地,则上触发电平U T+ = V ,下触发电平U T –= V 。 二、化简题:(每小题10分,共20分) 1.用代数法将下面的函数化为最简与或式:F=C ·[ABD BC BD A +++(B+C)D]

2. 用卡诺图法将下列函数化简为最简与或式: F(A 、B 、C 、D)=∑m (0,2,4,5,7,13)+∑d(8,9,10,11,14,15) 三、分析题:(每小题10分,共40分) 1.试分析题1图所示逻辑电路,写出逻辑表达式和真值表,表达式化简后再画出新的逻辑图。 题 1图 得分 评卷人

2.74161组成的电路如题 2 图所示,分析电路,并回答以下问题: (1)画出电路的状态转换图(Q 3Q 2Q 1Q 0); (2)说出电路的功能。(74161的功能见表) 题 2 图 …………………密……………………封…………………………装…………………订………………………线………………………

数字电子技术实验教案

湖南工学院教案用纸 实验1基本门电路逻辑功能测试(验证性实验) 一、实验目的 1?熟悉基本门电路图形符号与功能; 2?掌握门电路的使用与功能测试方法; 3?熟悉实验室数字电路实验设备的结构、功能与使用。 二、实验设备与器材 双列直插集成电路插座,逻辑电平开关,LED发光显示器,74LS00, 74LS20 , 74LS86,导 线 三、实验电路与说明 门电路是最简单、最基本的数字集成电路,也是构成任何复杂组合电路和时序电路的基本单 元。常见基本集门电路包括与门、或门、与非门、非门、异或门、同或门等,它们相应的图形符号与逻辑功能参见教材P.176, Fig.6.1。根据器件工艺,基本门电路有TTL门电路和CMOS门电路之分。TTL门电路工作速度快,不易损坏,CMOS门电路输出幅度大,集成 度高,抗干扰能力强。 1.74LS00 —四2输入与非门功能与引脚: 2. 74LS20 —双4输入与非门功能与引脚: 3. 74LS86 —四2输入异或门功能与引脚: 四、实验内容与步骤 1.74LS00功能测试: ①74LS00插入IC插座;②输入接逻辑电平开关;③输出接LED显示器;④接电源;⑤拔

动开关进行测试,结果记入自拟表格。 湖南工学院教案用纸

2. 74LS20功能测试: 实验过程与74LS00功能测试类似。 3. 74LS86功能测试: 实验过程与74LS00功能测试类似。 4. 用74LS00构成半加器并测试其功能: ①根据半加器功能:S A B , C AB,用74LS00设计一个半加器电路; ②根据所设计电路进行实验接线; ③电路输入接逻辑电平开关,输出接LED显示器; ④通电源测试半加器功能,结果记入自拟表格。 5. 用74LS86和74LS00构成半加器并测试其功能: 实验过程与以上半加器功能测试类似。 五、实验报告要求 1. 内容必须包括实验名称、目的要求、实验电路及设计步骤、实验结果记录与分析、实验总结与体会等。2?在报告中回答以下思考题: ①如何判断逻辑门电路功能是否正常? ②如何处理与非门的多余输入端? 实验2组合逻辑电路的设计与调试(设计性综合实验) 一、实验目的 1?熟悉编码器、译码器、数据选择器等MSI的功能与使用; 2?进一步掌握组合电路的设计与测试方法; 3?学会用MSI实现简单逻辑函数。 二、实验设备与器材

《数字电子技术基础》 阎石编著_数字电路教案

数字电路教案 本课程理论课学时数为70,实验24学时。各章学时分配见下表:

第一章逻辑代数基础 【本周学时分配】 本周5学时。周二1~2节,周四3~5节。 【教学目的与基本要求】 1、掌握二进制数、二—十进制数(主要是8421 BCD码) 2、熟练掌握逻辑代数的若干基本公式和常用公式。 3、熟练掌握逻辑函数的几种表达形式。 【教学重点与教学难点】 本周教学重点: 1、绪论:重点讲述数字电路的基本特点、应用状况和课程主要内容。 2、逻辑代数的基本运算:重点讲述各种运算的运算规则、符号和表达式。 3、逻辑代数的基本公式和常用公式:重点讲述逻辑代数的基本公式与普通代数公式的区别,常用公式的应用背景。 4、逻辑函数的表示方法:重点讲述各种表示方法的特点和相互转换方法。 本周教学难点: 反演定理和对偶定理:注意两者之间的区别、应用背景和变换时应注意的问题。【教学内容与时间安排】 一、绪论(约0.5学时) 1、电子电路的分类。 2、数字电路的基本特点。 3、数字电路的基本应用。 4、本课程的主要内容; 5、本课程的学习方法和对学生的基本要求。 二、数制与码制(约1.5学时)(若前置课程已学,可作简单复习0.5学时) 1、几种不同进制(二、八、十、十六进制)。 2、几种不同进制相互转换。 3、码制(BCD码)。 三、逻辑代数 1、基本逻辑运算和复合逻辑运算:与、或、非运算是逻辑代数的基本运算;还可以形成其他复合运算,常用的是与非、或非、与或非、异或、同或运算。(约0.5学时) 2、常用公式(18个)(约0.5学时) 3、基本定理(代入定理、反演定理、对偶定理)(约0.5学时) 4、逻辑函数的概念及表示方法(约0.5学时) 5、逻辑函数各种表示方法间的转换:常用的转换包括:函数式←→真值表;函数式←→逻辑图(约1学时)

数字电子技术基础教案

数字电子技术基础教案 太原工业学院 第1章逻辑代数基础

目的与要求: 熟练掌握基本逻辑运算和几种常用复合导出逻辑运算;熟练运用真值表、逻辑式、逻辑图来表示逻辑函数。 重点与难点: 重点:三种基本逻辑运算和几种导出逻辑运算;真值表、逻辑式、逻辑图之间的相互转换。难点:将真值表转换为逻辑式。 所谓数字电路,就是用0和1数字编码来表示和传输信息的系统,即信息数字化(时代)。 数字电路与传统的模拟电路比较,其突出的优点是:(如数字通 信系统)抗干扰能力强、保密性好、计算机自动控制、(数字测量 仪表)精度高、智能化、(集成电路)可靠性高、体积小等。 数字电子技术基础,是电子信息类各专业的主要技术基础课。 1、1概述 一、模拟量(时间、温度、压力、速度、流量):时间上和幅值上 连续变化的物理量; 模拟信号(正弦交流信号):表示模拟量的信号。 数字量:时间上和幅值上都不连续变化的物理量(工厂中生产的产品个数); 数字信号、数字电路。 数字电路中的数字信号 采用0、1两种数值(便于实现)(位bit 、拍) 0、1表示方法:电位型:电位高低(不归零型数字信号) 脉冲型:有无脉冲(归零型数字信号) 二、数制及其转换 由0、1数值引入二进制及其相关问题。 常用数制:举例:十进制、二进制(双)、七进制(星期)、 十二进制(打)等。 特点:基数:数制中所用数码的个数; 位权。 1. 十进制数 基数:10 位权:n 10 表达式:10)(N =(P2 式1-1)=i n m i i a 101 ?∑--= (1-1) 推广到任意进制R : 基数:R 位权:n R

表达式:R N )(=(P2 式1-2)=i n m i i R a ?∑--=1 (1-2) 2. 二进制数 表达式:2)(N =(P3 式1-3)=i n m i i a 21 ?∑--= (1-3) 位权:以K 为单位;按二进制思维(如1000个苹果问题); 例如:(1101.01)2= 0-16对应的二进制数 特点:信息密度低,引入八、十六进制。 3. 八进制、十六进制 八进制: 基数:8(0-7) 位权:n 8 表达式:8)(N == i n m i i a 81?∑--= ( 1-4) 十六进制: 基数:16(0-9,A ,B ,C ,D ,E ,F ) 位权:n 16 表达式:16)(N ==i n m i i a 161?∑--= 特点:和二进制有简单对应关系;信息密度高,便于书写。 4. 不同进制数的转换 ⑴ R →十:按位权展开,再按十进制运算规则运算。 例1-1、1-2、1-3(P4) ⑵ 十→R :分两步 整数部分:除R 取余,注意结束及结果; 小数部分:乘R 取整,注意精度及结果; 结果合并: ⑶ R=2k 进制之间的转换 二?八:3位?1位, 二?十六:4位?1位, 八?十六:以二进制为过度, 5. 进制的另一种表示方法: B (inary )----二; H(exadecimal)----十六; D(ecimal)----十; O----八 三、二—十进制代码(BCD 代码)

数字电子技术基础答案

Q 1 CP Q 1 Q 0 &&D 1D 0第一组: 计算题 一、(本题20分) 试写出图示逻辑电路的逻辑表达式,并化为最简与或式。 解:C B A B A F ++=C B A B A F ++= 二、(本题25分) 时序逻辑电路如图所示,已知初始状态Q 1Q 0=00。 (1)试写出各触发器的驱动方程; (2)列出状态转换顺序表; (3)说明电路的功能; 解:(1)100Q Q D =,101Q Q D =; (2)00→10→01 (3)三进制移位计数器

三、(本题30分) 由集成定时器555组成的电路如图所示,已知:R 1=R 2=10 k Ω,C =5μF 。 (1)说明电路的功能; (2)计算电路的周期和频率。 解:(1)多谐振荡器电路 (2)T 1=7s , T 2=3.5s 四、(本题25分) 用二进制计算器74LS161和8选1数据选择器连接的电路如图所示, (1)试列出74LS161的状态表; (2)指出是几进制计数器; (3)写出输出Z 的序列。 "1" 解: (1)状态表如图所示 (2)十进制计数器 C R R CC u o

(3)输出Z的序列是0010001100 第二组: 计算题 一、(本题20分) 逻辑电路如图所示,试答:1、写出逻辑式并转换为最简与或表达式,2、画出用“与”门及“或”门实现的逻辑图。 B 二、(本题25分) 试用与非门设计一个三人表决组合逻辑电路(输入为A、B、C,输出为F),要求在A有一票决定权的前提下遵照少数服从多数原则,即满足:1、A=1时,F一定等于1,2、A、B、C中有两2个以上等于1,则输出F=1。 试:(1)写出表决电路的真值表; (2)写出表决电路的逻辑表达式并化简; (3)画出用与非门设计的逻辑电路图。

数字电子技术基础期末考试试卷及答案1[1]

数字电子技术基础试题(一) 填空题: (每空1数字电子技术基础试题(一) 一、分,共10分) 1.(30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为12 条、数据线为 8 条。 二、选择题:(选择一个正确的答案填入括号内,每题3分,共30分) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。

2.下列几种TTL电路中,输出端可实现线与功能的电路是(D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) B、D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、D、双积分A/D转换器 7.某电路的输入波形u I 和输出波形u O 如下图所示,则该电路为(C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。

数字电子技术基础知识总结

数字电子技术基础知识总结引导语:数字电子技术基础知识有哪些呢?接下来是小编为你带来收集整理的文章,欢迎阅读! 处理模拟信号的电子电路。“模拟”二字主要指电压(或电流)对于真实信号成比例的再现。 其主要特点是: 1、函数的取值为无限多个; 2、当图像信息和声音信息改变时,信号的波形也改变,即模拟信号待传播的信息包含在它的波形之中(信息变化规律直接反映在模拟信号的幅度、频率和相位的变化上)。 3.初级模拟电路主要解决两个大的方面:1放大、2信号源。 4、模拟信号具有连续性。 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。 其主要特点是: 1、同时具有算术运算和逻辑运算功能 数字电路是以二进制逻辑代数为数学基础,使用二进制数字信号,既能进行算术运算又能方便地进行逻辑运算(与、或、非、判断、比较、处理等),因此极其适合于运算、比较、存储、传输、控制、决策等应用。

2、实现简单,系统可靠 以二进制作为基础的数字逻辑电路,可靠性较强。电源电压的小的波动对其没有影响,温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 3、集成度高,功能实现容易 集成度高,体积小,功耗低是数字电路突出的优点之一。电路的设计、维修、维护灵活方便,随着集成电路技术的高速发展,数字逻辑电路的集成度越来越高,集成电路块的功能随着小规模集成电路(SSI)、中规模集成电路(MSI)、大规模集成电路(LSI)、超大规模集成电路(VLSI)的发展也从元件级、器件级、部件级、板卡级上升到系统级。电路的设计组成只需采用一些标准的集成电路块单元连接而成。对于非标准的特殊电路还可以使用可编程序逻辑阵列电路,通过编程的方法实现任意的逻辑功能。 模拟电路是处理模拟信号的电路;数字电路是处理数字信号的电路。 模拟信号是关于时间的函数,是一个连续变化的量,数字信号则是离散的量。因为所有的电子系统都是要以具体的电子器件,电子线路为载体的,在一个信号处理中,信号的采集,信号的恢复都是模拟信号,只有中间部分信号的处理是数字处理。具体的说模拟电路主要处理模拟信号,不随时间变化,时间域和值域上均连续的信号,如语音信号。而数

最新数字电子技术基础电子教案——第5章时序逻辑电路.docx

第 5 章时序逻辑电路 5.1时序逻辑电路的基本概念 1.时序逻辑电路的结构及特点 时序逻辑电路在任何时刻的输出状态不仅取决于当时的输入信号,还与电路的原状态有关,触发器就是最简单的时序逻辑电路,时序逻辑电路中必须含有存 储电路。时序电路的基本结构如图 5.1 所示,它由组合电路和存储电路两部分 组成。 图 5.1时序逻辑电路框图 时序逻辑电路具有以下特点: (1)时序逻辑电路通常包含组合电路和存储电路两个组成部分,而存储电路 要记忆给定时刻前的输入输出信号,是必不可少的。 (2)时序逻辑电路中存在反馈,存储电路的输出状态必须反馈到组合电路的 输入端,与输入信号一起,共同决定组合逻辑电路的输出。 2.时序逻辑电路的分类 ( 1)按时钟输入方式 时序电路按照时钟输入方式分为同步时序电路和异步时序电路两大类。同步时序电路中,各触发器受同一时钟控制,其状态转换与所加的时钟脉冲信号都是同步的;异步时序电路中,各触发器的时钟不同,电路状态的转换有先有后。同 步时序电路较复杂,其速度高于异步时序电路。 ( 2)按输出信号的特点 根据输出信号的特点可将时序电路分为米里(Mealy)型和摩尔(Moore)型两类。米里型电路的外部输出 Z 既与触发器的状态 Q n有关,又与外部输入 X 有

关。而摩尔型电路的外部输出Z 仅与触发器的状态Q n有关,而与外部输入X 无关。 ( 3)按逻辑功能 时序逻辑电路按逻辑功能可划分为寄存器、锁存器、移位寄存器、计数器和节拍发生器等。 3.时序逻辑电路的逻辑功能描述方法 描述一个时序电路的逻辑功能可以采用逻辑方程组(驱动方程、输出方程、 状态方程)、状态表、状态图、时序图等方法。这些方法可以相互转换,而且 都是分析和设计时序电路的基本工具。 5.2时序逻辑电路的分析方法和设计方法 1.时序逻辑电路的分析步骤 (1)首先确定是同步还是异步。若是异步,须写出各触发器的时钟方程。 (2)写驱动方程。 (3)写状态方程(或次态方程)。 (4)写输出方程。若电路由外部输出,要写出这些输出的逻辑表达式,即输 出方程。 (5)列状态表 (6)画状态图和时序图。 (7)检查电路能否自启动并说明其逻辑功能。 5.2.1同步时序逻辑电路的设计方法 1.同步时序逻辑电路的设计步骤 设计同步时序电路的一般过程如图 5.10 所示。 图 5.10同步时序电路的设计过程

数字电路课程教案

课时授课计划 - 1 课号:1 (共8学时理论6学时实验0学时习题2学时) 课题:第1章绪论 1.1 概述 1.2 数制和码制 目的与要求: 了解本门课程的基本内容; 了解数字电路的特点及应用、分类及学习方法; 掌握二、八、十、十六进制的表示方法及相互转换; 知道8421BCD码、余三码、格雷码的意义及表示方法。 重点与难点: 重点:数制与码制的表示方法; 难点:二、八、十六进制的转换。 教具: 课堂讨论: 离散信号; 二、十、八、十六进制的特点及表示方法; 码的作用; 8421BCD码的特点及应用。 现代教学方法与手段: 数字电路网络课程 PowerPoint 复习(提问): 什么是模拟信号模拟电路; 什么是二进制代码。 授课班次: 课时分配:

提纲 第1章绪论 1.1 概述 1 . 1 . 1 数字信号和数字电路 1、数字信号与模似信号 2、模拟电路与数字电路 1 . 1 . 2 数字电路的分类 1、按电路类型分类 2、按集成度分类 3、按半导体的导电类型分类 1 . 1 . 3 数字电路的优点 1、易集成化 2、抗干扰能力强,可靠性高 3、便于长期存贮 4、通用性强,成本低,系列多 5、保密性好 1 .1 .4 脉冲波形的主要参数 1.脉冲幅度Um 2.脉冲上升时间 3.脉冲下降时间 4.脉冲宽度 5.脉冲周期 6.脉冲频率 7.占空比q 1.2 数制和码制 1 . 2 . 1 数制 一、十进制 二、二进制 三、八进制和十六进制 1 . 2 .2 不同数制间的转换 一、各种数制转换成十进制 二、十进制转换为二进制 三、二进制与八进制、十六进制间相互转换 1 . 2 . 3 二进制代码 一、二-十进制代码 8421码、5421码和余3码 二、可靠性代码 1.格雷码 2.奇偶校验码 作业:

数字电子技术教案word版

第一章数字逻辑概论 一、实施时间:第 1-2 周二、实施对象:电信、应物、电气 三、编写时间:1.5 四、课时数:6学时 五.目的要求: (一)教学目的与要求: 1、掌握常见的数制(如:十进制、二进制、八进制、十六进制)及其之间的相互转换; 2、掌握常见的代码(如:8421码、余三码、循环码)以及数制与代码之间的相互转换。 3、掌握二值逻辑变量与基本逻辑运算和逻辑函数及其表示方法(如:真值表、逻辑函数表达式、卡诺图、逻辑电路图、波形图)及其之间的相互转换。 4、掌握基本逻辑运算与、或、非。 5、掌握二进制数(包括正、负二进制数)的表示和补码、反码的运算。 六、主要内容: 1、常见的代码(如:8421码、余三码、循环码)以及数制与代码之间的相互转换。 2、掌握二值逻辑变量与基本逻辑运算和逻辑函数及其表示方法及其之间的相互转换。 3、掌握二进制数(包括正、负二进制数)的表示和补码、反码的运算。 七、本章重点和难点: 1、重点:(1)常见的代码(如:8421码、余三码、循环码、余三循环码)。 (2)数制与代码之间的相互转换,二值逻辑变量与基本逻辑运算和逻辑函数及其表示方法。 2、难点:二进制数(包括正、负二进制数)的表示法和补码的运算。 第一节数制与编码 一、实施时间:第 1 周二、实施对象:电信、应物、电气 三、编写时间:1.5 四、课时数:4学时 五.目的要求: 1、掌握常见的数制(如:十进制、二进制、八进制、十六进制)及其之间的相互转换; 2、掌握常见的代码(如:8421码、余三码、循环码)以及数制与代码之间的相互转换。 六、主要内容: 1、十进制、二进制、八进制、十六进制及其之间的相互转换; 2、二进制正负数的表示及运算。 3、8421码、余三码、循环码以及数制与代码之间的相互转换。 七、教学重点和难点: 8421码、余三码、循环码以及数制与代码之间的相互转换。

数字电子技术基础. 第四版. 课后习题答案详解

Y 1 1 Y 第一章 1.1 二进制到十六进制、十进制 (1)(10010111)2=(97)16=(151)10 (3)(0.01011111)2=(0.5F)16=(0.37109375)10 1.2 十进制到二进制、十六进制 (1)(17)10=(10001)2=(11)16 (3) (0.39)10 = (0.0110 0011 1101 0111 0000 1010) 2 = (0.63D70A)16 1.8 用公式化简逻辑函数 (1)Y=A+B (2)Y = ABC + A + B + C 解: = BC + A + B + C = C + A + B + C =(A +A =) (5)Y=0 (2)(1101101)2=(6D)16=(109)10 (4)(11.001)2=(3.2)16=(3.125)10 (2)(127)10=(1111111)2=(7F)16 (4) (25.7)10 = (11001.1011 0011) 2 = (19.B 3)16 (3)Y=1 (4)Y = AB CD + ABD + AC D 解:Y = AD (B C + B + C ) = AD (B + C + C ) = AD (7)Y=A+CD (6)Y = AC (C D + A B ) + BC (B + AD + CE ) 解:Y = BC ( B ⊕ AD + CE ) = BC ( B + AD ) ⊕ CE = ABCD (C + E ) = ABCDE (8)Y = A + ( B + )( A + B + C )( A + B + C ) 解:Y = A + ( B ⊕ C )( A + B + C )( A + B + C ) = A + ( AB C + B C )( A + B + C ) = A + B C ( A + B + C ) = A + AB C + B C = A + B C (9)Y = BC + A D + AD (10)Y = AC + AD + AEF + BDE + BDE 1.9 (a) Y = ABC + BC (b) Y = ABC + ABC (c) Y 1 = AB + AC D ,Y 2 = AB + AC D + ACD + ACD (d) Y 1 = AB + AC + BC , Y 2 = ABC + ABC + ABC + ABC 1.10 求下列函数的反函数并化简为最简与或式 (1)Y = AC + BC (3)Y = ( A + B )( A + C )AC + BC (2) Y = A + C + D 解: = ( A + B )( A + C )AC + BC = [( A + B )( A + C ) + AC ] ⊕ BC = ( AB + AC + BC + AC )( B + C ) = B + C (5)Y = AD + AC + BCD + C 解:Y = ( A + D )( A + C )(B + C + D )C = AC ( A + D )(B + C + D ) = ACD (B + C + D ) = ABCD (4)Y = A + B + C (6)Y = 0 1.11 将函数化简为最小项之和的形式 (1)Y = A BC + AC + B C 解:Y = A BC + AC + B C = A BC + A (B + B )C + ( A + A )B C = A BC + ABC + AB C + AB C + ABC = A BC + ABC + AB C + ABC (2)Y = ABC D + A BCD + ABCD + AB CD + AB CD + A BC D

数字电路基础教案

第7章数字电路基础 【课题】 7.1 概述 【教学目的】 1.让学生了解数字电子技术对于认知数码世界的重要现实意义,培养学生学习该科目的浓厚兴趣。 2.明确该科目的学习重点和学习方法。 【教学重点】 1.电信号的种类和各自的特点。 2.数字信号的表示方法。 3.脉冲波形主要参数的含义及常见脉冲波形。 4.数字电路的特点和优越性。 【教学难点】 数字信号在日常生活中的应用。 【教学方法】 讲授法,讨论法 【参考教学课时】 1课时 【教学过程】 一、新授内容 7.1.1 数字信号与模拟信号 1. 模拟信号:在时间和数值上是连续变化的信号称为模拟信号。 2. 数字信号:在时间和数值上是离散的信号称为数字信号。 讨论:请同学们列举几种常见的数字信号和模拟信号。 7.1.2 脉冲信号及其参数 1. 脉冲信号的定义:在瞬间突然变化、作用时间极短的电压或电流信号。 2.脉冲的主要参数:脉冲幅值V m 、脉冲上升时间t r 、脉冲下降时间t f 、脉冲宽度t W 、脉冲周期T及占空比D。 7.1.3 数字电路的特点及应用 特点:1.电路结构简单,便于实现数字电路集成化。

2.抗干扰能力强,可靠性高。(例如手机) 3.数字电路实际上是一种逻辑运算电路,电路分析与设计方法简单、方便。 4.数字电路可以方便地保存、传输、处理数字信号。(例如计算机) 5.精度高、功能完备、智能化。(例如数字电视和数码照相机) 应用:数字电路在家电产品、测量仪器、通信设备、控制装置等领域得到广泛的应用,数字化的发展前景非常宽阔。 讨论:1.你用过哪些数字电路产品,请列出1~2个较为典型的例子,并就其中一个产品说明它的功能及优点和缺点。 二、课堂小结 1. 数字信号与模拟信号的概念 2. 脉冲信号及其参数 3. 数字电路的特点及应用 三、课堂思考 讨论:谈谈如何才能学好数字电路课程? 四、课后练习 P143思考与练习题:1、 2、3。 【课题】 7.2 常用数制与编码 【教学目的】 1.掌握二进制、十进制、十六进制数的表示方法及数制间的相互转换。 2.了解8421BCD码的表示形式。 【教学重点】 1.二进制、十六进制数的表示方法。 2.数字电路中为什么广泛采用二、十六进制数。 3.为什么要进行不同数制之间的转换。 4.进行二进制、十进制数、十六进制之间的相互转换。 5. 8421BCD码。 【教学难点】

《电子技术基本》数字电路备课教案(张兴龙主编教学材料)

学生情况分析 该门课程所授对象是电子20和电子22班,两个班的学生都接近50人,均为二年一期学生。该批学生已经学习了《电子技术基础》的模拟电路的大部分,对专业都有了较为全面的了解,对专业课的学习方法都有一定的掌握,并学习过《电工基础》课程且有部分同学通过了电工证的考试,还学习过电子技能训练,掌握了基本工具的使用,具备一定的制作能力并有浓厚的兴趣。他们都还处于入门期,对知识的渴望较高,对专业课的反映很好。这些都是有利的方面。 不利的方面也是有的,诸如存在学生之间发展不平衡:有的课外参加过制作培训,甚至有少部分同学对电视机维修都有较好的掌握,而有同学对起码的制作还没入门,更有甚者有学生还不会使用万用表。还存在班级发展不平衡:由于电子20班与电子22班在以前的授课中专业老师不一样,各任课教师的侧重点也各不相同,使得班级之间有各方面的差异。随着《电子技术基础》一年二期的学习,有部分同学产生了畏难情绪,失去了学习兴趣。这两个班都有少部分同学是从电子23班转入的,在学生不平衡方面就尤为明显。

当然,教学过程本身就是要针对学生的不同状况做出相应的布置,让学生能学有所获。在对教材处理上,在教学方法上,在教学辅导等等各教学环节上都要有针对性的去解决问题,达到建立学生的学习兴趣,构成学生的知识个性。使学生能成为社会的中等技术工人,并具备后绪发展能力。 教材分析 该课程选用的由张龙兴主编的《电子技术基础》,由高等教育出版社出版,是教育部规划教材。全书分两篇,第一篇模拟电路基础,第二篇数字电路基础。第一篇学生已经在一年二期学习了大部分内容,只有集成运放一节没有学习。第二篇数字电路包括逻辑门电路、数字逻辑基础、组合逻辑电路、集成触发器、时序逻辑电路、脉冲的产生和整形电路、数模和模数转换、智能化电子系统简介八个章节。 在教学中不可能面面具到,就需要适度的对教材进行处理,只能以部分为重点,根据学生的实际情况和教材内容,在教学中侧重于逻辑门电路(8课时)、数字逻辑基础(10课时)、组合逻辑电路的教学(14课时)、

数字电子技术完整教(学)案

第一次教案 一、章节·课题 1.1.1数制 二、教学目的和要求: 掌握数字信号与模拟信号的区别,几种进制之间的转换。 三、重难点分析 进制之间的转换 四、课型:讲授 五、教法:讲授、任务驱动法 六、教具:计算机、多媒体等 七、教学容与过程:(见教案) 八、课后记

教学过程 (一)、导入新课 回忆计算机基础中所讲的二进制,引出本次课容。(二)、讲授新课 一、数字电路概述 1、模拟信号与数字信号区别 2、数字信号的表示:逻辑0和逻辑1(二值数字逻辑) 3、、数字电路的基本知识 二、进制 十进制、二进制、十六进制、八进制 三、二进制与八进制、十六进制之间的转换 详见PPT

第二次教案 一、章节·课题 1.1.2编码 二、教学目的和要求: 熟悉几种常用的编码 三、重难点分析 8421码、余三码、格雷码的特点。 四、课型:讲授 五、教法:讲授、任务驱动法 六、教具:计算机、多媒体等 七、教学容与过程:(见教案) 八、课后记

教学过程 (一)、导入新课: 提问进制的容,引出编码的容。(二)、讲授新课 1. 二—十进制编码(BCD码) (1)8421码(2)5421码(3)余3码2. 其它常用的代码 (1)格雷码(又称循环码) (2)奇偶校验码 (3)字符码 详见PPT

第三次教案 一、章节·课题 1.2逻辑函数 二、教学目的和要求: 掌握逻辑代数三种基本运算,掌握逻辑代数的基本定律和常用公式;掌握逻辑代数的基本定律的证明方法 三、重难点分析 2. 逻辑代数的基本定律的证明 四、课型:讲授 五、教法:讲授、任务驱动法 六、教具:计算机、多媒体等 七、教学容与过程:(见教案) 八、课后记

数字电路电子教案(打印版)

《数字电路》教案 序言 1.课程性质 《数字电子技术基础》课程是电气信息类专业具入门性质的重要的专业基础课。 2.课程目标 获得适应信息时代的数字电子技术方面的基本理论、基本知识和基本技能。培养分析和解决实际问题的能力,为以后深入学习数字电子技术及其相关学科和专业打好以下两方面的基础: 1、正确分析、设计数字电路,特别是集成电路的基础; 2、为进一步学习设计专用集成电路(ASIC)的基础。 3. 课程研究内容 数字信号传输、变换、产生等。内容涉及相关器件、功能电路及系统。 硬件处理数字信号的电子电路及其逻辑功能 数字电路的分析方法 数字电路的设计方法 各种典型器件在电子系统中的应用

软件系统分析、设计的软件工具——ABEL、VHDL、VerlogHDL、EDA工具软件QuartusII等 4.课程特点与学习方法 (1)课程特点 a、发展快 b、应用广 c、工程实践性强 摩尔定律:集成度按10倍/6年的速度发展。 (2)学习方法 打好基础、关注发展、主动更新、注重实践 a、掌握基本概念、基本电路和基本分析、设计方法 b、能独立的应用所学的知识去分析和解决数字电路的实际问题的能力。 5.主要教材及参考书 阎石主编《数字电子技术基础.》第四版高等教育出版社 蔡惟铮主编《基础电子技术》《集成电子技术》高等教育出版社郑家龙、王小海主编《集成电子技术基础教程》高等教育出版社电子工程手册编委会等编.中外集成电路简明速查手册-TTL、CMOS.电子工业出版社 王金明,杨吉斌编.《数字系统设计与VerliogHDL 》电子工业出

版社 罗杰、谭力编.《数字ASIC设计》讲义 第一章数字逻辑基础 1.1 数字电路与数字信号 1.1.1数字技术的发展及其应用 60~70代- IC技术迅速发展:SSI、MSI、LSI 、VLSI。10万个晶体管/片。 80年代后- ULSI ,1 0 亿个晶体管/片、ASIC 制作技术成熟 90年代后- 97年一片集成电路上有40亿个晶体管。 目前-- 芯片内部的布线细微到亚微米(0.13~0.09 m)量级,微处理器的时钟频率高达3GHz(109Hz) 将来- 高分子材料或生物材料制成密度更高、三维结构电路发展特点: 以电子器件的发展为基础 电子管时代 晶体管时代

最新数字电子技术基础电子教案——第2章逻辑门电路.docx

第 2 章逻辑门电路 2.2基本逻辑门电路 在数字系统中,大量地运用着执行基本逻辑操作的电路,这些电路称为基本逻辑电路或门电路。早期的门电路主要由继电器的触点构成,后来采用二极管、 三极管,目前则广泛应用集成电路。 2.2.1三种基本门电路 1.二极管与门电路 实现“与”逻辑关系的电路叫做与门电路。由二极管组成的与门电路如图 2.5 ( a)所示,图 2.5 所示( b)为其逻辑符号。图中A、 B 为信号的输入端, Y 为信号的输出端。 图2.5 二极管与门 对二极管组成的与门电路分析如下。 (1) A、B 都是低电平 uY≈ 0V (2) A 是低电平, B 是高电平 uY≈0V (3) A 是高电平, B 是低电平 uY≈0V (4) A、B 都是高电平 uY≈ 5V 从上述分析可知,该电路实现的是与逻辑关系,即“输入有低,输出为低; 输入全高,输出为高”,所以,它是一种与门。 2.二极管或门电路 实现或逻辑关系的电路叫做或门电路。由二极管组成的或门电路如图 2.6所示,其功能分析如下。

图2.6 二极管或门 (1) A、B 都是低电平 uY=0V (2) A 是低电平, B 是高电平 uY≈5V (3) A 是高电平, B 是低电平 uY≈5V (4) A、B 都是高电平 uY≈ 5V 通过上述分析,该电路实现的是或逻辑关系,即“输入有高,输出为高;输 入全低,输出为低”,所以,它是一种或门。 3.三极管非门 实现非逻辑关系的电路叫做非门电路。因为它的输入与输出之间是反相关 系,故又称为反相器,其电路如图 2.7 所示。 图 2.7三极管反相器 2.2.2DTL与非门 采用二极管门电路和三极管反相器,可组成与非门和或非门扩大逻辑功能, 这种电路应用非常广泛。 DTL 与非门电路是由二极管与门和三极管反相器串联而成的,其电路图及逻辑符号分别如图 2.8 (a)和图 2.8 (b)所示。

数字电子技术基础第三版第一章答案

第一章数字逻辑基础 第一节重点与难点 一、重点: 1.数制 2。编码 (1)二—十进制码(BCD码) 在这种编码中,用四位二进制数表示十进制数中的0~9十个数码。常用的编码有8421BCD码、5421BCD码和余3码. 8421BCD码是由四位二进制数0000到1111十六种组合中前十种组合,即0000~1001来代表十进制数0~9十个数码,每位二进制码具有固定的权值8、4、2、1,称有权码。 余3码是由8421BCD码加3(0011)得来,是一种无权码。 (2)格雷码 格雷码是一种常见的无权码。这种码的特点是相邻的两个码组之间仅有一位不同,因而其可靠性较高,广泛应用于计数和数字系统的输入、输出等场合. 3.逻辑代数基础 (1)逻辑代数的基本公式与基本规则 逻辑代数的基本公式反映了二值逻辑的基本思想,是逻辑运算的重要工具,也是学习数字电路的必备基础。 逻辑代数有三个基本规则,利用代入规则、反演规则和对偶规则使逻辑函数的公式数目倍增. (2)逻辑问题的描述 逻辑问题的描述可用真值表、函数式、逻辑图、卡诺图和时序图,它们各具特点又相互关联,可按需选用。 (3)图形法化简逻辑函数 图形法比较适合于具有三、四变量的逻辑函数的简化。 二、难点: 1。给定逻辑函数,将逻辑函数化为最简 用代数法化简逻辑函数,要求熟练掌握逻辑代数的基本公式和规则,熟练运用四个基本方法—并项法、消项法、消元法及配项法对逻辑函数进行化简. 用图形法化简逻辑函数时,一定要注意卡诺图的循环邻接的特点,画包围圈时应把每个包围圈尽可能画大。 2.卡诺图的灵活应用 卡诺图除用于简化函数外,还可以用来检验化简结果是否最简、判断函数间的关系、求函数的反函数和逻辑运算等。 3.电路的设计 在工程实际中,往往给出逻辑命题,如何正确分析命题,设计出逻辑电路呢?通常的步骤

数字电子技术基础试卷及答案8套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 A B 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2 取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 F M2M1 F 0 0 0 1 1 1 1 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A(A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。

123Y AC Y ABC ABC BC Y BC ABC =?? =++?? =+? 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D 触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分)电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C、V01、A、B、C的波形。并计算出V01波形的周期T=?。

数字电子技术基础(整理笔记)

第一章数字逻辑基础 1.1 数字电路概述 1.1.1 数字电路与模拟电路 电子电路根据其处理的信号不同可以分为模拟电子电路和数字电子电路。 1.模拟信号和模拟电路 模拟信号:在时间上和数值上都是练习变化的信号。 模拟电路:处理模拟信号的电子电路。 2.数字信号和数字电路 数字信号:在时间上和数值上都是离散(变化不连续)的信号。 数字电路:处理数字信号的电子电路。 3.数字电路的特点 ①数字电路内部的晶体管(包括单、双极型)主要工作在饱和导通或截止状态;模拟电路内部的晶体管主要工作在放大状态。 ②数字电路的信号只有两种状态:高电平和低电平,分别对应于(或代表)二进制数中的1和0,表示信号的有或无,便于数据处理。 ③数字电路结构相对简单,功耗较低,便于集成。 ④数字电路抗干扰能力强。其原因是利用脉冲信号的有无传递1和0的数字信息,高低电平间容差较大,幅度较小的干扰不足以改变信号的有无状态。 ⑤数字电路不仅能完成数值运算,而且还能进行逻辑运算和比较判断,从而在计算机系统中得到广泛应用。 4.数字电路的分类 ①按电路的组成结构可分为分列元件电路和集成电路。 ②按数字电路集成度可分为小规模、中规模、大规模和超大规模集成电路。 ③按集成电路内部器件可分为双极型和单级型。 ④按电路的逻辑功能可分为组合逻辑和时序逻辑电路。 1.1.2脉冲波形参数 数字电路信号中,研究的对象是一些不连续的突变的电信号,作用时间很短,所以也称为脉冲信号。 脉冲信号波形形状很多,主要有方波、矩形波、三角波、锯齿波等。 ①脉冲幅度Um。脉冲电压变化的最大值,即脉冲波从波底至波顶之间的电压。 ②上升时间t r。脉冲波前沿从0.1Um上升到0.9Um所需的时间。 ③下降时间t f。脉冲波后沿从0.9Um下降到0.1Um所需的时间。 ④脉冲宽度t w。脉冲波从上升沿的0.5Um至下降沿0.5Um所需的时间。 ⑤脉冲周期T。在周期性脉冲信号中,任意两个相邻脉冲上升沿(或下降沿)之间的时间 间隔。 ⑥重复频率f(单位:Hz)。每秒脉冲信号出现的次数,即脉冲周期的倒数:f=1/T。 ⑦占空比q。脉冲宽度与脉冲周期的比值,q=t w/T。 1.2.1数制与编码 十进制数、十六进制数、二进制数对应关系表

高中通用技术选修《电子控制技术:数字电路》教学建议教案设计

数字电路一、内容结构图

(一)重点分析 1、基本逻辑门电路的逻辑关系、真值表和波形图 门电路是构成数字集成电路的基本单元,若将非常复杂的数字电路进行细分解,可以知道都是由与门、或门、非门这三种基本门电路构成的。门电路的输出数据由输入数据决定,其逻辑关系函数表达式表达了门电路的输出与输入之间的关系,是分析和设计数字电路的基础。 真值表以表格形式反映了输入信号所有变化可能性对应输出信号的关系,是逻辑关系函数表达式的表格化形式,真值表是理解数字电路工作状态的重要依据。 波形图反映了门电路的输入、输出信号电平随时间变化的情况,也是由逻辑关系函数表达式决定的。 逻辑关系函数表达式、真值表和波形图以三种不同形式来表达数字电路的工作状态,其本质都是一样的。 2、TTL型和CMOS型集成电路在电气特性方面的差别 数字集成电路有TTL与CMOS两种类型,例如集成与、或、非门也有TTL与CMOS两种类型,TTL主要由NPN 型晶体管构成,CMOS主要由场效应管构成,由于使用器件不同,决定了这两种集成电路的结构和电气性能有很大不同,使用时,理解它们的特性非常重要。 由于TTL型和CMOS型集成电路的最大额定电源电压、逻辑电平、延迟时间等参数都有较大的差别,所以TTL型和CMOS型集成电路不能混合使用。 虽然TTL型和CMOS型集成电路在电气特性方面有较大差别,但相同功能电路的逻辑关系是相同的,不影响对电路的逻辑分析。 3、用数字集成电路安装简单的实用电路 用数字集成电路构成简单实用电路,是数字集成电路应用和构成较复杂组合数字电路的基础。学生通过电路安装,可以认识和熟悉电子元器件,初步掌握焊接、安装技巧,体验成功的快乐,培养对电子技术的兴趣。在教学时可选用与、或、非、与非、或非等集成门构成多谐振荡电路,利用多谐振荡器搭接电子门铃、报警器等简单、有趣电路,有兴趣的学生除了学会安装多谐振荡器外,还可了解多谐振荡器频率的计算方法,以便调节频率、改变音调。

相关文档
相关文档 最新文档