文档库 最新最全的文档下载
当前位置:文档库 › 集成电路实验

集成电路实验

集成电路实验
集成电路实验

实验一 Tanner软件的安装和使用

一、实验目的

1.掌握Tanner的安装过程。

2.了解Tanner软件的组成及使用。

3.掌握使用S-Edit和T-Spice对nMOS管的I-V特性仿真的方法

二、实验内容

Tanner Tools Pro 是一套集成电路设计软件,包括S-Edit ,T-Spice,W-Edit ,L-Edit与LVS,各软件的主要功能整理如表1.1所示。

Tanner Tools Pro的设计流程可以用图1.1来表示。将要设计的电路先以S-Edit

编辑出电路图,再将该电路图输出成

SPICE文件。接着利用T-Spice将电路图

模拟并输出成SPICE文件,如果模拟结

果有错误,再回S-Edit检查电路图,如

果T-Spice模拟结果无误,则以L-Edit

进行布局图设计。用L-Edit进行布局图

设计后要以DRC功能做设计规则检

查,若违反设计规则,再将布局图进行

修改直到设计规则检查无误为止。将验

证过的布局图转化成SPICE文件,再利

用T-Spice模拟,若有错误,再回到

L-Edit修改布局图。最后利用LVS将电

路图输出的SPICE文件与布局图转化

的SPICE文件进行对比,若对比结果不

相等,则返回去修正L-Edit或S-Edit图。

直到验证无误后,将L-Edit设计好的布局图输出成GDSII文件类型,再交由工厂去制作半导体过程中需要的的光罩。

1.1 tanner的安装

Tanner软件的安装是比较简单的,主要分为安装和安装license两部分。下面详细介绍安装步骤。

第一步,双击安装文件夹…\Tanner L-EDIT 11.1下的setup.exe文件,得到如图1.2所示的安装向导,按默认选项,依次点击“下一步”,直至安装完成。

图1.2 安装向导

第二步,将….\Tanner L-EDIT 11.1\crack文件夹下的所有文件复制到安装目录utilities下,如图1.3所示。然后双击运行其中的crack.bat文件安装licens e,得到如图1.4所示的界面,然后点击“instance”,安装成功之后点击“exi t”。至此,tanner就安装成功了。在桌面上就会看到如图1.5所示的快捷方式,分别对应前面介绍的tanner pro软件的五个功能模块。

1.2 nMOS管I-V特性

(1)打开S-Edit程序:双击桌面上的,即可打开S-Edit程序,S-Edi t会自动将工作文件命名为“FileO.sdb”并显示在窗口的标题栏上,如图1.6所示。

图1.3 复制文件

图1.4 安装license

图1.5 快捷

方式(2)另存新文件:选择File---Save As命令,打开“另存为”对话框,在“另存在”下拉列表中选择保存的路径,在“文件名”文本框中输入新文件的名称,如ex1,如图1. 7所示。

(3)环境设置:S-Edit默认的工作环境是黑底白线,但可按照用户的爱好来自定义颜色,例如,可将背景颜色换成白色,而将电路线条颜色换成黑色。选取Setup---Color s命令,打开Color对话框,可分别设置背景色(Background Color)、前景色(Foregroun

d Color)、选取的颜色(Selection Color)、栅格颜色(Grid Color)与原点的颜色(Origin C

olor)。用鼠标来选择颜色的部分,即可更换颜色,将各颜色设置为图1.8所示的状态,则画面背景变成白色。

图1.7 另存新文件图 1.8 改变颜色(4)编辑模块:S-Edit编辑方式是以模块(Module)为单位而不是以文件(File)为单位,每一个文件可以有多个模块,而每一个模块则表示一种基本组件或一种电路,故一个文件内可能包含多种组件或多个电路。每次打开新文件时便自动打开一个模块并将之命名为“Module0”,如图1.9所示。

图1.9 编辑模块Module0

(5) 浏览元件库:S-Edit本身附有4个元件库,他们分别是在..\Tanner\S-Edit\libr ary目录下的scmos.sdb、spice.sdb、pages.sdb与element.sdb。若要引入这些组件库中的模块,可以选择Module---Symbol Browser命令,打开Symbol Browser对话框,单击Add Library按钮,可加入要使用的元件库,本范例中加入了scmos、spice、pag es与element元件库在Library列表中,如图1.10所示。

图1.10 添加元件库

(6)从元件库引用模块:编辑反相器电路会利用到NMOS、 Vdd与Gnd这3个模块,所以要从元件库中复制NMOS、Vdd与Gnd这3个模块到ex1文件,并在Modul e0中编辑画面引用。其方法为:选择Module---Symbol Browser命令,打开Symbol B rowser对话框,在Library列表框中选取spice元件库,其内含模块出现在Modules列表框中,在Modules列表框中选取MOSFET_N选项(NMOS),单击Place按钮及Clos e按钮,则在Module0编辑窗口内将出现MOSFET_N的符号。以同样操作选出Vdd与Gnd符号并在每次选择后分别单击Place按钮,最后单击Close按钮则出现如图1.11所示的界面。

图1.11 调用模块图1.12 编辑电路

(7)编辑电路:按住Alt键拖动鼠标,可移动各对象。注意MOSFET_N有4个节点,Vdd与Gnd选项分别有一个节点。将3个对象摆放成如图1.12所示的位置,注意,在两对象相连接处,各节点上小圆圈消失即代表连接成功。

(8)加入联机:将4个对象排列好后再利用左边的联机按钮,完成各端点的信号

连接,注意控制鼠标键可将联机转向,按鼠标右键可终止联机。当联机与组件节点正确相接时,节点上小圆圈同样会消失,但若有3个以上的联机或组件节点接在一起时,则会出现实心圆圈,如图1.13所示。

图1.13 电路连接图1.14 编辑输入端口

(9)加入输入端口与输出端口:利用S-Edit提供的输入端口按钮与输出端口按

钮,标明电路的输入输出信号的位置与名称,方法如下:选择输入端口按钮,再到工

作区用鼠标左键选择要连接的端点,打开Edit Selected Port对话框,如图1.14所示,在Name文本框输入“in”,单击OK按钮。添加输出端口步骤是一样的。

若输入端口或输出端口未与所要连接的端点相接,则可利用移动功能将输入端口移至电路输入端,将输出端口接至电路输出端,或利用联机功能将节点连接在一起,如图1. 15所示。

图1.15 编辑端口后的电路图图1.16 模块重命名

(10)模块重命名:S-Edit的一个文件中可以包含多个模块,为了便于寻找以前完成的模块,需要将模块的名字改成容易认出的名字。选择Module---rename,弹出如图1. 16所示的对话框,输入模块的新名字,如“nMOS-IV”,点击“OK”即可。

(11)加入工作电源:选择Module---Symbol Browser命令,打开Symbol Brows er对话框,在Library列表框中选择spice组件库,其内含模块出现在Modules列表框

中,其中有很多种电压源符号,选取直流电压源Source_v_dc作为此电路的工作电压源,如图1.17所示。

直流电压源Source_v_dc符号有正(+)端与负(-)端。在模块编辑窗口中将直流电压源Source_v_dc符号的正(+)端接Vdd,将其符号的负(-)端接Gnd,可以连接成如图1.18所示的画面。在图1.18中,虽将两个全域符号Vdd及两个Gnd符号分开放置,但两个分离的Vdd符号实际上是接到同一个节点,而两个Gnd符号也是共同接地。所以为了使外加电源与设计电路能清楚地分开,建议采用图1.18所示的电路图表示方法。

注意:在再次添加Vdd和Gnd时会出现一个模块冲突对话框,如图1.19所示。只需选择第三项,然后点击“proceed”即可。

(12) 加入输入信号:在这里输入信号源选用直流电压源Source_v_dc,可以通过选择Module---Instance命令引用Source_v_dc模块,也可以选择编辑窗

图1.17 选取Source_v_dc 符号

图1.18 工作电源加入后的电路图

口内的Source _v_dc符号使之变为红色,再选择Edit---Duplicate命令复制一个 Sourc e_v_dc符号作为电路输入信号,将直流电压源Source_v_dc符号的正(+)端接输入端口in,将直流电压源Source_v_dc符号的负(-)端接Gnd,编辑完成的画面如图1.20所示。

(13) 编辑Source_v_dc对象:电路中有两个直流电压源Source_v_dc符号,为便于区别它们,可利用编辑对象更改其引用名称与SPICE输出形式。选取在Vdd与Gnd之间的Source_v_dc符号使之变为红色,选择Edit---Edit Object

图1.19 模块名字冲突对话框

图1.20 加入输入信号源

命令,打开Edit Instance of Module Source_v_dc对话框,将Source_v_dc符号引用名称Instance name更改为vvdd,再将Properties选项组中的SPICE OUTPUT 文本框中的内容“V#”改为“${instance}”,如图1.21所示,即SPICE OUTPUT文本框中的内容变为“${instance} %(pos) %{neg} ${V}”。要注意,其中的V为默认值5.0。做了这些修改后SPICE输出形式会是vvdd Vdd Gnd 5.0。

图1.21 编辑Source_v_dc对象

再选取在IN与Gnd之间的Source_v_dc符号使之变成红色,再选择Edit --- Edit Obj ect命令,打开Edit Instance of Module Source_v_dc对话框,将Source_v_dc符号引用名称Instance name更改为“vin", 再将Properties选项组中的SPICE OUTPUT文本框中的内容“v#”改为“${instance}”,如图1.22所示,即SPICE OUTPUT文本框中的内容变为“${instance) %{pos)%{neg} ${V}”。要注意其中的V也己改为1.0。做了这些修改后SPICE输出形式会是vin in Gnd 1.0 。

编辑对象后的结果如图1.23所示,其中的工作电压源为5.0V的直流电压源,输入信号为1.0V 的直流电压源。

图1.22 编辑Source_v_dc对象

图1.23 编辑结果

(14) 输出成SPICE文件:要将设计好的S-Edit电路图借助T-Spice软件分析并仿真此电路的性质,需要先将电路图转换成SPICE格式。进行此操作共有两种方法,第一

种方法是单击S-Edit右方的按钮,则会自动输出成SPICE文件并打开T-Spice软件;

第二种方法则是选择File --- Export命令输出文件,再打开 T-Spice程序,执行在..\ Tanner\Tspice70目录下的wintsp32.exe文件,再打开从ex1的nMOS-IV模块输出nM OS-IV.sp文件,结果如图1.24所示。

图1.24 输出生成SPICE文件

(14) 加载包含文件:由于不同的流程有不同特性,在模拟之前,必须要引入MOS 元件的模型文件,此模型文件包括电容电阻系数等数据,以供T-Spice模拟之用。在这里引用1.25um的CMOS流程元件模型文件m12_125.md。将鼠标移至主要电路之前,选择Edit---Insert Command命令,在出现的对话框左侧的列表框中选择Files选项,此时在右边选项组将出现4个按钮,可直接单击Include 按钮,或展开左侧列表框中的Fil es 选项并选择Include file选项,此时单击Browse 按钮,在出现的对话框中先找到..\ Tanner\TSpice70\models\目录,接着选取模型文件m12_125.md,则在Include file选项组内将出现..\Tanner\TSpice70\models\ml2 _125.md。再单击Insert Command按钮,

则会出现默认以蓝色字开头的“.include ' C:\Tanner\TSpice70\models\ml2_125.md' ”。结果如图1.25所示。

图1.25 插入include命令

(15) 分析设定:由于本实验是nMOS的I-V特性分析,在这里模拟输入电压vin从0V变动到5V时(以0.02V线性增加),输出电流对应于输入电压变动的情况。将鼠标移至文件尾,选择Edit---Insert Command命令,在出现的对话框的列表框中,选择Anal ysis选顶,右边会出现8个按钮,选择Analysis选项下的DC transfer sweep选项,单击右侧的Sweep 1按钮,扫开Sweep对话框,如图1.26所示。在Sweep type下拉列表中选择Linear选项,在Parameter type下拉列表中选择Source选项,在Source n ame文本框中输入“vin”,在Start文本框中输入“0”,在Stop 文本框中输入“5.0”,在Inc rement文本框中输入“0.02”,先单击Accept按钮,再单击Insert Command按钮则会出现默认以蓝色字开头的“.dc lin source vin 0 5.0 0.02”,如图1.27所示。

(16) 输出设定:在此要观察的是M1的漏电流对vin电压做图的模拟结果。将鼠标移至文件尾,选择Edit---Insert Command命令,在出现的对话框的左侧的列表框中选择Output选项,右边会出现7个选项,选择Output选项下的DC results选项,在右边的Plot type下拉列表中选择Current(by node name)选项,在Device name文本框中输入“M1”,在Node name文本框中输入“Vdd”,单击Add按钮如图1.28所示,再单击Insert Command按钮,则会出现默认的以蓝色开头的“.print dc i(M1,Vdd)”,如图1.29所示。

(17) 进行仿真:选择Simulate---Start Simulation命令,或单击按钮,打开Run Simulation对话框,单击其中的Start Simulation按钮,则会出现仿真状态窗口Simulation Status,如图1.30所示,并自动打开W-Editor窗口以观看仿真波形图。

(18) 观看结果:可在T-Spice环境下打开模拟结果报告文件nMOS-IV.out,也可以在W-Edit下观看模拟结果nMOS-IV.out,即nMOS的I-V特性曲线,如图1.31 所示。其中,纵坐标为漏电流(单位mA),横坐标为输入电压。

图1.26 vin电源Sweep设定

图1.27 vin电源Sweep设定结果

图1.28 输出设定

图1.29 输出设定结果

图1.30 仿真状态窗口

图1.31 输出特性曲线

三、实验报告

1、完成实验内容中图15、20、23、29、31。

2、完成思考题。

四、思考题

1、对图31进行分析,分析此时M1的工作状态,漏电流的表达式,试验证当电压为2.5V 时电流为1.09mA这个结果是否符合表达式。

2、试采用另外两种不同的器件模型进行仿真,比较仿真结果之间的差别并分析原因。

3、改变M1的宽长比后再进行仿真,比较其特性曲线的差别并分析原因。

附录 Hspice介绍

福州大学集成电路应用实验一

《集成电路应用》课程实验实验一 4053门电路综合实验 学院:物理与信息工程学院 专业: 电子信息工程 年级: 2015级 姓名:张桢 学号: 指导老师:许志猛

实验一 4053门电路综合实验 一、实验目的: 1.掌握当前广泛使用的74/HC/HCT系列CMOS集成电路、包括门电路、反相 器、施密特触发器与非门等电路在振荡、整形、逻辑等方向的应用。 2.掌握4053的逻辑功能,并学会如何用4053设计门电路。 3.掌握多谐振荡器的设计原理,设计和实现一个多谐振荡器,学会选取和 计算元件参数。 二、元件和仪器: 1.CD4053三2通道数字控制模拟开关 2.万用表 3.示波器 4.电阻、电容 三、实验原理: 1.CD4053三2通道数字控制模拟开关 CD4053是三2通道数字控制模拟开关,有三个独立的数字控制输入端A、B、C和INH输入,具有低导通阻抗和低的截止漏电流。幅值为4.5~20V的数字信号可控制峰-峰值至20V的数字信号。CD4053的管脚图和功能表如下所示 4053引脚图

4053的8种逻辑功能 CD4053真值表 根据CD4053的逻辑功能,可以由CD4053由4053电路构成如下图所示8种逻辑门(反相器与非门或非门、反相器、三态门、RS 触发器、——RS 触发器、异或门等)。 输入状态 接通通道

]) 2)(()(ln[ T DD T DD T DD T V V V V V V V RC T -+--=2.多谐振荡器的设计 非门作为一个开关倒相器件,可用以构成各种脉冲波形的产生电路。电路的基本工作原理是利用电容器的充放电,当输入电压达到与非门的阈值电压VT 时,门的输出状态即发生变化。因此,电路输出的脉冲波形参数直接取决于电路中阻容元件的数值。 可以利用反相器设计出如下图所示的多谐振荡器 这样的多谐振荡器输出的信号周期计算公式为: 当R S ≈2R 时,若:VT=0.5VDD ,对于HC 和HCU 型器件,有 T ≈2.2RC 对于HCT 型器件,有 T ≈2.4RC 四、实验内容: 1. 验证CD4053的逻辑功能,用4053设计门电路,并验证其逻辑功能: (1)根据实验原理设计如下的反相器电路图: CD4053构成反相器电路

生物芯片技术研究进展

生物芯片技术研究进展 张智梁 摘要:随着DNA测序技术的发展和几种同时监测大量基因表达的新技术出现,人类基因组DNA序列分析可能很快完成,并由此产生了生物信息学,而DNA芯片技术应运而生。生物芯片主要是指通过微电子、微加工技术在芯片表面构建的微型生物化学分析系统,以实现对细胞、DNA、蛋白质、组织、糖类及其他生物组分进行快速、敏感、高效的处理和分析,是近些年来发展迅速的一项高新技术。生物芯片主要包括基因芯片、蛋白质芯片、组织芯片等。 关键词:生物芯片;研究进展;应用 生物芯片是指通过微电子、微加工技术在芯片表面构建的微型生物化学分析系统,以实现对细胞、DNA、蛋白质、组织、糖类及其他生物组分进行快速、敏感、高效的处理和分析,其实质就是在面积不大的基片(玻片、硅片、聚丙烯酰胺凝胶、尼龙膜等载体)表面上有序地点阵排列一系列已知的识别分子,在一定条件下,使之与被测物质(样品)结合或反应,再以一定的方法(同位素法、化学荧光法、化学发光法、酶标法等)进行显示和分析,最后得出被测物质的化学分子结构等信息。因常用玻片/硅片等材料作为固相支持物,且制备过程模拟计算机芯片的制备技术,所以称之为生物芯片技术。这项技术是由美国旧金山以南的的一个新兴生物公司首先发展起来的。S.P.AForder及其同事于90年代初发明了一种利用光刻技术在固相支持物上光导合成多肽的方法,并在此基础上于l993年设计了一种寡核苷酸生物芯片,直至l996年制造出世界上第一块商业化的DNA芯片。在此期间国际上掀起了一片DNA芯片设计的热潮,出现了多种类型的DNA芯片技术。DNA芯片在产生的短短几年时间内技术不断,现已经显现出在基因诊断、基因表达分析和新基因的发现、蛋白组学方面的应用、基因组文库作图等生物医学领域中的应用价值。 l、生物芯片的分类 目前常见的生物芯片分为3类:第1类为微阵列芯片,包括基因芯片、蛋白芯片、细胞芯片和组织芯片;第2类为微流控芯片(属于主动式芯片),包括各类样品制备芯片、聚合酶链反应(PCR)芯片、毛细管电泳芯片和色谱芯片等;第3类为以生物芯片为基础的集成化分析系统(也叫“芯片实验室”,是生物芯片技术的最高境界)。“芯片实验室”可以完成如样品制备、试剂输送、生化反应、结果检测、信息处理和传递等一系列复杂工作。这些微型集成化分析系统携带方便,可用于紧急场合、野外操作甚至放在航天器上。 2、生物芯片的应用 2.1基因测序基因芯片利用固定探针与样品进行分子杂交产生的杂交图谱而排列出待测样品的序列,这种测定方法快速,具有十分诱人的前景。芯片技术能辨别单核苷酸多态性(SNPs),当基因组序列中的单个核苷酸发生突变,就会引起基因组DNA序列变异。Hacia等用含有48000个寡核苷酸的高密度微阵列分析了黑猩猩和人BRCAl基因序列差异,结果发现在外显子11约3.4kb长度范围内的核酸序列同源性为83.5%~98.2%,提示了二者在进化上的高度相似性。Check 等通过运用DNA微集阵列分析研究与早期心血管疾病相关的候选基冈一丁SP基冈家族,结果发现TSP-1和TSP-4基因错义变异与早期冠状动脉疾病相关,它们在m液凝固和动脉修复中起重要作用,而丁SP一2基冈非编码区的突变却在心脏病的发生过程有一定的保护作用。在卵巢癌发展过程中,基因TP53起到临界

福州大学集成电路应用实验二-参考模板

《集成电路应用》课程实验实验二锁相环综合实验 学院:物理与信息工程学院 专业: 电子信息工程 年级: 2015级 姓名:张桢 学号: 指导老师:许志猛

实验二锁相环综合实验 一、实验目的: 1.掌握锁相环的基本原理。 2.掌握锁相环外部元件的选择方法。 3.应用CD4046锁相环进行基本应用设计。 二、元件和仪器: 1.CD4046 2.函数信号发生器 3.示波器 4.电阻、电容若干 5.面包板 三、实验原理: 1.锁相环的基本原理。 锁相环最基本的结构如图所示。它由三个基本的部件组成:鉴相器(PD)、环路滤波器(LPF)和压控振荡器(VCO)。 锁相环工作原理图 鉴相器是个相位比较装置。它把输入信号Si(t)和压控振荡器的输出信号So(t)的相位进行比较,产生对应于两个信号相位差的误差电压Se(t)。 环路滤波器的作用是滤除误差电压Se(t)中的高频成分和噪声,以保证环路所要求的性能,增加系统的稳定性。

压控振荡器受控制电压Sd(t)的控制,使压控振荡器的频率向输入信号的频率靠拢,直至消除频差而锁定。 锁相环是个相位误差控制系统。它比较输入信号和压控振荡器输出信号之间的相位差,从而产生误差控制电压来调整压控振荡器的频率,以达到与输入信号同频。在环路开始工作时,如果输入信号频率与压控振荡器频率不同,则由于两信号之间存在固有的频率差,它们之间的相位差势必一直在变化,结果鉴相器输出的误差电压就在一定范围内变化。在这种误差电压的控制下,压控振荡器的频率也在变化。若压控振荡器的频率能够变化到与输入信号频率相等,在满足稳定性条件下就在这个频率上稳定下来。达到稳定后,输入信号和压控振荡器输出信号之间的频差为零,相差不再随时间变化,误差电压为一固定值,这时环路就进入“锁定”状态。这就是锁相环工作的大致过程。 2.CD4046芯片的工作原理。 CD4046是通用的CMOS锁相环集成电路,其特点是电源电压范围宽(为3V -18V),输入阻抗高(约100MΩ),动态功耗小,在中心频率f0为10kHz下功耗仅为600μW,属微功耗器件。 CD4046锁相的意义是相位同步的自动控制,功能是完成两个电信号相位同步的自动控制闭环系统叫做锁相环,简称PLL。它广泛应用于广播通信、频率合成、自动控制及时钟同步等技术领域。锁相环主要由相位比较器(PC)、压控振荡器(VCO)、低通滤波器三部分组成,如下所示。 4046组成框图

电子科技大学集成电路原理实验CMOS模拟集成电路设计与仿真王向展

实验报告 课程名称:集成电路原理 实验名称: CMOS模拟集成电路设计与仿真 小组成员: 实验地点:科技实验大楼606 实验时间: 2017年6月12日 2017年6月12日 微电子与固体电子学院

一、实验名称:CMOS模拟集成电路设计与仿真 二、实验学时:4 三、实验原理 1、转换速率(SR):也称压摆率,单位是V/μs。运放接成闭环条件下,将一个阶跃信号输入到运放的输入端,从运放的输出端测得运放的输出上升速率。 2、开环增益:当放大器中没有加入负反馈电路时的放大增益称为开环增益。 3、增益带宽积:放大器带宽和带宽增益的乘积,即运放增益下降为1时所对应的频率。 4、相位裕度:使得增益降为1时对应的频率点的相位与-180相位的差值。 5、输入共模范围:在差分放大电路中,二个输入端所加的是大小相等,极性相同的输入信号叫共模信号,此信号的范围叫共模输入信号范围。 6、输出电压摆幅:一般指输出电压最大值和最小值的差。 图 1两级共源CMOS运放电路图 实验所用原理图如图1所示。图中有多个电流镜结构,M1、M2构成源耦合对,做差分输入;M3、M4构成电流镜做M1、M2的有源负载;M5、M8构成电流镜提供恒流源;M8、M9为偏置电路提供偏置。M6、M7为二级放大电路,Cc为引入的米勒补偿电容。 其中主要技术指标与电路的电气参数及几何尺寸的关系:

转换速率:SR=I5 I I 第一级增益:I I1=?I I2 I II2+I II4=?2I I1 I5(I2+I3) 第二级增益:I I2=?I I6 I II6+I II7=?2I I6 I6(I6+I7) 单位增益带宽:GB=I I2 I I 输出级极点:I2=?I I6 I I 零点:I1=I I6 I I 正CMR:I II,III=I II?√5 I3 ?|I II3|(III)+I II1,III 负CMR:I II,III=√I5 I1+I II5,饱和 +I II1,III+I II 饱和电压:I II,饱和=√2I II I 功耗:I IIII=(I8+I5+I7)(I II+I II) 四、实验目的 本实验是基于微电子技术应用背景和《集成电路原理与设计》课程设置及其特点而设置,为IC设计性实验。其目的在于: 根据实验任务要求,综合运用课程所学知识自主完成相应的模拟集成电路设计,掌握基本的IC设计技巧。 学习并掌握国际流行的EDA仿真软件Cadence的使用方法,并进行电路的模拟仿真。 五、实验内容 1、根据设计指标要求,针对CMOS两级共源运放结构,分析计算各器件尺寸。 2、电路的仿真与分析,重点进行直流工作点、交流AC和瞬态Trans分析,能熟练掌握各种分析的参数设置方法与仿真结果的查看方法。 3、电路性能的优化与器件参数调试,要求达到预定的技术指标。

集成电路实训报告

集成电路课程设计 目录 1 .引言 (1) 1.1 课题目的与意义 (1) 1.2 设计题目与要求 (1) 1.3 Tanner软件的介绍 (2) 2反相器设计 (2) 2.1 S-edit设计反相器 (2) 2.2反相器的瞬时分析 (3) 2.3反相器直流分析 (4) 3 L-edit画PMOS和NMOS布局图 (5) 3.1 L-edit的使用 (5) 3.2 使用L-Edit画PMOS布局图 (5) 3.3 使用L-Edit画NMOS布局图 (6) 3.4 使用L-Edit画基板节点元件 (7) 3.5 L-edit画反相器布局并作瞬时和直流分析 (7) 3.6使用LVS对比反相器 (8) 3.7关于功耗和延迟方面的计算 (9) 4.仿真注意事项 (11) 5 总结 (12) 参考文献 (13)

1 .引言 集成电路产业是信息产业的核心,在全球集成电路产业重心转移的背景下,中国集成电路产业取得了前所唯有的发展,为信息产业向纵深发展奠定了一定的基础。在全球集成电路竞争中,中国国产集成电路仍然处于较弱的地位,一方面供给无法满足中国电子整机产品的需求,另一方面则是自主创新能力不足。同时,也应看到中国集成电路产业发展的希望与契机,作为全球集成电路产业增长最快的地区和全球最具发展潜力的市场,伴随市场需求的扩张、产业规模的升级、技术水准的提高,该看到中国集成电路产业发展的希望。作为全球第三大集成电路市场中国占了20%的份额,而且产业发展速度和市场潜力在全球首屈一指。如今,由于我国集成电路产业还处于发展初期,富有经验的中高层工程,技术人才、设计人才及企业管理运营人才缺口很大。我国集成电路产业对专业设计、制造、营销、管理人才的需求量是25万一30万人,但目前国内这方面的人才数量远远不够。人才短缺,将成为制约我国集成电路产业快速发展的另一个瓶颈。然而,这也是作为一位学生,也是我们的机会,是我们为国家的集成电路信息安全做贡献的机会。让我们国家的集成电路不受外国掣肘。 1.1 课题目的与意义 本课程设计是《集成电路分析与设计基础》的实践课程,其主要目的是使学生在熟悉集成电路制造技术、半导体器件原理和集成电路分析与设计基础上,训练综合运用已掌握的知识,利用集成电路设计软件,初步熟悉和掌握集成电路芯片系统设计→电路设计及模拟→版图设计→版图验证等正向设计方法。掌握微电子技术人员所需要的基本理论和技能,日后从事集成电路设计工作打下基础。 通过此课程设计使学生对集成电路设计有了初步的认识认识并熟练使用集成电路相关软件,熟练集成电路设计的技能及规则等方面有重要意义。 1.2 设计题目与要求 1设计时使用的工艺及设计规则:MOSIS:mhp-s5; 2根据所用的工艺,选取合理的模型库; 3选用以lambda(λ)为单位的设计规则; 4全手工、层次化设计版图; 5达到指导书提出的设计指标要求。

集成电路实验 王向展

电子科技大学 实验报告 二、实验项目名称:CMOS模拟集成电路设计与仿真 三、实验地点:211大楼606房间 四、实验学时:4 五、实验目的: (1)综合运用课程所学知识自主完成相应的模拟集成电路版图设计,掌握基本的IC版图布局布线技巧。 (2)学习并掌握国际流行的EDA仿真软件Cadence的使用方法,并进行版图的的设计与验证 六、实验原理: IC设计一般规则: ①根据用途要求,确定系统总体方案 ②根据电路的指标和工作条件,确定电路结构与类型,然后通过模拟计算, 决定电路中各器件的参数(包括电参数、几何参数等),EDA软件进行模拟仿真。 ③根据电路特点选择适当的工艺,再按电路中各器件的参数要求,确定满足 这些参数的工艺参数、工艺流程和工艺条件。 ④按电路设计和确定的工艺流程,把电路中有源器件、阻容元件及互连以一 定的规则布置在硅片上,绘制出相互套合的版图,以供制作各次光刻掩模版用。 ⑤生成PG带制作掩模版 ⑥工艺流片 ⑦测试,划片封装

实验模拟基于Cadence 平台的电路设计与仿真 七、实验内容: 1、UNIX操作系统常用命令的使用,Cadence EDA仿真环境的调用。 2、设计一个运算放大器电路,要求其增益大于60dB, 相位裕度大于45o, 功耗小于10mW。 3、根据设计指标要求,选取、确定适合的电路结构,并进行计算分析。 4、电路的仿真与分析,重点进行直流工作点、交流AC分析、瞬态Trans 分析、建立时间小信号特性和压摆率大信号分析,能熟练掌握各种分析的参数设置方法。 5、电路性能的优化与器件参数调试,要求达到预定的技术指标。 6、整理仿真数据与曲线图表,撰写并提交实验报告。 八、实验仪器与器材 (1)工作站或微机终端一台 (2)EDA仿真软件 1套 九、实验结果: 1、根据实验指导书熟悉UNIX操作系统常用命令的使用,掌握Cadence EDA仿真环境的调用。 2、根据设计指标要求,设计出如下图所示的电路结构。并进行计算分析,确定其中各器件的参数。 4、电路的仿真与分析,重点进行直流工作点、交流AC分析、瞬态Trans分析,能熟练掌握各种分 ①增益与频率之间的关系、相位裕度与频率之间关系图如下所示: ②输入、输出关系曲线 十、实验结果计算与分析: 从幅频特性曲线图像中可以读出,电路的增益A V=59dB略小于设计所要求的60dB;找出增益接近于0时候的截止频率为102.4MHz,对应到下方相频特性曲线图像中为-130o,则相位裕度为180o-130o=50o,

集成电路设计实验报告

集成电路设计 实验报告 时间:2011年12月

实验一原理图设计 一、实验目的 1.学会使用Unix操作系统 2.学会使用CADENCE的SCHEMA TIC COMPOSOR软件 二:实验内容 使用schematic软件,设计出D触发器,设置好参数。 二、实验步骤 1、在桌面上点击Xstart图标 2、在User name:一栏中填入用户名,在Host:中填入IP地址,在Password:一栏中填入 用户密码,在protocol:中选择telnet类型 3、点击菜单上的Run!,即可进入该用户unix界面 4、系统中用户名为“test9”,密码为test123456 5、在命令行中(提示符后,如:test22>)键入以下命令 icfb&↙(回车键),其中& 表示后台工作,调出Cadence软件。 出现的主窗口所示: 6、建立库(library):窗口分Library和Technology File两部分。Library部分有Name和Directory 两项,分别输入要建立的Library的名称和路径。如果只建立进行SPICE模拟的线路图,Technology部分选择Don’t need a techfile选项。如果在库中要创立掩模版或其它的物理数据(即要建立除了schematic外的一些view),则须选择Compile a new techfile(建立新的techfile)或Attach to an existing techfile(使用原有的techfile)。 7、建立单元文件(cell):在Library Name中选择存放新文件的库,在Cell Name中输 入名称,然后在Tool选项中选择Composer-Schematic工具(进行SPICE模拟),在View Name中就会自动填上相应的View Name—schematic。当然在Tool工具中还有很多别的

专用集成电路实验2---导线

实验2 导线特性 1 实验目的 了解导线的RC特性和建模方法。 2 实验内容 设某层金属阻值为0.086欧姆/方。金属层导线长度为1300um,宽度为0.13um;其集总电容为0.1pf。设信号源为1.2V,内阻1K欧姆。利用Elmore延时公式计算、并使用下列模型仿真此导线的延时(50%至50%)以及上升和下降时间(10%至90%)。 (1)一个电阻、一个电容的集总模型; (2) 3型RC模型; (3)T3型RC模型。 下面是当时编写的,自己都记不清了,问号是保存为记事本txt有问题。 (a) INVa .options list node post .model m1 pmos level=2 .model m2 nmos level=2 m1 out in vdd vdd?m1 L=0.250u?W=1.125u m2 out in 0? 0? m1 L=0.250u?W=0.375u cl out 0 1pf vdd vdd 0 dc 2.5 vin in 0 dc 2.5 .op .dc vin 0 2.5 0.5 .print dc v(in) v(out) .probe dc v(in) v(out) .END (b) INVb .options list node post

.model m1 pmos level=2 .model m2 nmos level=2 m1 out in vdd vdd?m1 L=0.250u?W=2.750u m2 out in 0? 0? m1 L=0.250u?W=0.375u cl out 0 1pf vdd vdd 0 dc 2.5 vin in 0 dc 2.5 .op .dc vin 0 2.5 0.5 .print dc v(in) v(out) .probe dc v(in) v(out) .END (c) INVc .options list node post .model m1 pmos level=2 .model m2 nmos level=2 m1 out in vdd vdd?m1 L=0.250u?W=1.125u m2 out in 0? 0? m1 L=0.250u?W=0.375u cl out 0 1pf vdd vdd 0 dc 2.5 vin in 0 dc vd .data vd_table 1 1.5 2.5 .enddata .op .tran 100p 100n .print tran v(in) v(out) .probe tran v(in) v(out) .END (d) INVc .options list node post .model m1 pmos level=2 .model m2 nmos level=2 m1 out in vdd vdd?m1 L=0.250u?W=0.750u m2 out in 0? 0? m1 L=0.250u?W=0.375u cl out 0 1pf vdd vdd 0 dc 2.5 vin in 0 dc 2.5

电子科技大学-集成电路原理实验-集成电路版图识别与提取-王向展

实验报告

一、实验名称:集成电路版图识别与提取 二、实验学时:4 三、实验原理 本实验重点放在版图识别、电路拓扑提取、电路功能分析三大模块, 1、仔细观察芯片图形总体的布局布线,找出电源线、地线、输入端、 输出端及其对应的压焊点。 2、判定此IC采用P阱还是N阱工艺;进行版图中元器件的辨认, 要求分出MOS管、多晶硅电阻和MOS电容。 3、根据以上的判别依据,提取芯片上图形所表示的电路连接拓扑结

构;复查,加以修正;完成电路的提取,并分析电路功能,应用Visio 或Cadence等软件对电路进行复原。 六、实验仪器设备 (1)工作站或微机终端 1台 (2)芯片显微图片 1张 图1 1、观察芯片布局明确V DD、GND、V in1、V in 2、V out、Test的压焊点。 2、根据V DD连接的有源区可以判断为PMOS管,根据比较环数推测出 此IC采用了P阱工艺。

3、确定P阱工艺后,从输入端开始逐一对元器件及其连线进行辨认。从输入端出来,直接看到在输入压焊点到输入管之间有一段多晶硅,但又无连线的“交叉”出现,排除了“过桥”的可能,初步判断为电阻,再根据其后的二极管可以判定为是与二极管组成保护电路最终与输入管相接,可断定是输入端起限流作用的电阻。其中绿色圈标识有大片的多晶硅覆盖扩散区的区域判断为MOS电容。

图2 2、可见,实验图片为一个采用CMOS P阱工艺制造的放大器电路,该电路为典型的差分放大输入级。由电路图可以看出,器件连接方式正确,逻辑上能完成确定的功能,说明提取结果是正确的。 3、整个实验过程是对IC逆向设计的尝试,IC逆向设计是IC设计的一条关键技术之一,一方面可借鉴并消化吸收先进、富有创意的版图 步提取; 由将二者提取的电路结合所学知识修改、完善,并最终确定电路; 由用Cadence 软件搭建出所提取的电路,并完善布局; 最后,由二者共同完成该实验报告。

专用集成电路实验报告

实验3/4 反相器的特性

: 学号: 班级: 指导老师: 1、实验目的 1.了解反相器的电路结构和版图结构。 2.理解反相器的开关阈值。 3.理解反相器延时与电源和器件尺寸的关系。 4.理解反相器链的延时与器件尺寸的关系。 2、实验容 1.画出一个双阱工艺反相器的版图示意图(不严格要求尺寸和比例关系,画出阱、扩散区、 多晶栅极、栅接触孔、源极漏极接触孔、金属即可)。 2.一个0.25um工艺的反相器,NMOS管的尺寸为L = 0.250um,W = 0.375um;PMOS管的尺 寸为L = 0.250um,W = 1.125um。

a) 电源为2.5V ,从0到2.5V 扫描输入电压vin ,观察输出电压vout ,找到开关阈值; b) 仅修改PMOS 管的W = 2.750um ,找到此时的开关阈值; c) 恢复PMOS 管尺寸W = 1.125um ,电源分别为2.5V 、1.5V 、1V ,观察pHL t 和pLH t (50% 到50%); d) 修改PMOS 管的W = 0.750um ,电源为2.5V ,观察pHL t 和pLH t (50%到50%)。 3. 四个反相器级联,所有的NMOS 管的尺寸为L = 0.250um ,W = 0.375um ;所有的PMOS 管 的L = 0.250um ;电源为2.5V 。 a) 第一个反相器的PMOS 管W = 1.125um ,第二个反相器的PMOS 管W = 1.875um ,第三 个反相器的PMOS 管W = 3.000um ,第四个反相器的PMOS 管W = 5.250um ; b) 四个反相器的PMOS 管均为W = 1.125um ; c) 四个反相器的PMOS 管均为W = 1.875um ; d) 四个反相器的PMOS 管均为W = 3.000um ; 观察四种情况下反相器链的pHL t 和pLH t 。 一、双阱工艺反相器的版图示意图 双阱工艺反相器的版图示意图如图1.1所示

集成电路综合实验报告

集成电路设计综合实验 题目:集成电路设计综合实验 班级:微电子学1201 姓名: 学号:

集成电路设计综合实验报告 一、实验目的 1、培养从版图提取电路的能力 2、学习版图设计的方法和技巧 3、复习和巩固基本的数字单元电路设计 4、学习并掌握集成电路设计流程 二、实验内容 1. 反向提取给定电路模块(如下图1所示),要求画出电路原理图,分析出其所完成的逻辑功能,并进行仿真验证;再画出该电路的版图,完成DRC验证。 图1 1.1 查阅相关资料,反向提取给定电路模块,并且将其整理、合理布局。 1.2 建立自己的library和Schematic View(电路图如下图2所示)。 图2 1.3 进行仿真验证,并分析其所完成的逻辑功能(仿真波形如下图3所示)。

图3 由仿真波形分析其功能为D锁存器。 锁存器:对脉冲电平敏感,在时钟脉冲的电平作用下改变状态。锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,当锁存器处于使能状态时,输出才会随着数据输入发生变化。简单地说,它有两个输入,分别是一个有效信号EN,一个输入数据信号DATA_IN,它有一个输出Q,它的功能就是在EN有效的时候把DATA_IN的值传给Q,也就是锁存的过程。 只有在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号。其中使能端A 加入CP信号,C为数据信号。输出控制信号为0时,锁存器的数据通过三态门进行输出。所谓锁存器,就是输出端的状态不会随输入端的状态变化而变化,仅在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号到来时才改变。锁存,就是把信号暂存以维持某种电平状态。 1.4 生成Symbol测试电路如下(图4所示) 图4

微流体芯片的研究发展

微流体芯片的研究发展 中国科学院上海科技查新咨询中心陈大明2010-01-29 关键字:生物医学工程微流体芯片 芯片实验室(Lab-on-a-chip)从早期的微全分析系统(Miniaturized Total Analysis System, μ-TAS)发展而来,又称微流体芯片或微流体芯片实验室,是指把生物和化学等领域中所涉及的样品制备、生物与化学反应、分离检测等基本操作单位集成或基本集成一块几平方厘米的芯片上,用以完成不同的生物或化学反应过程,并对其产物进行分析的一种技术。它是通过分析化学、微机电加工(MEMS)、计算机、电子学、材料科学与生物学、医学和工程学等交叉来实现化学分析检测即实现从试样处理到检测的整体微型化、自动化、集成化与便携化这一目标。 微流体(芯片实验室)技术是目前迅速发展的高新技术和多学科交叉科技前沿领域之一,是生命科学、化学科学与信息科学信号检测和处理方法研究的重要技术平台。芯片实验室将在未来的发展中对分析科学乃至整个科学技术以及相关的产业界产生相似 的作用。计算机芯片使计算微型化,而芯片实验室使实验室微型化,因此,在生物医学领域它可以使珍贵的生物样品和试剂消耗降低到微升甚至纳升级,而且分析速度成倍提高,成本成倍下降;在化学领域它可以使以前需要在一个大实验室花大量样品、试剂和很多时间才能完成的分析和合成,将在一块小的芯片上花很少量样品和试剂以很短的时间同时完成大量实验;在分析化学领域,它可以使以前大的分析仪器变成平方厘米尺寸规模的分析仪,将大大节约资源和能源。芯片实验室由于排污很少,所以也是一种“绿色”技术。总体上看,该技术具有以下特点:(1)集成性,芯片集成的单元部件越来越多,且集成的规模也越来越大。所涉及到的部件包括:和进样及样品处理有关的透析、膜、固相萃取、净化;用于流体控制的微阀(包括主动阀和被动阀),微泵(包括机械泵和非机械泵);微混合器,微反应器,当然还有微通道和微检测器等。(2)分析速度快。(3)高通量。(4)能耗低,物耗少,污染小。每个分析样品所消耗的试剂仅几微升至几十个微升,被分析的物质的体积只需纳升级或皮升级。(5)廉价,安全。因此,微流控分析系统在微型化、集成化和便携化方面的优势为其在生物医学研究、药物合成筛选、环境监测与保护、卫生检疫、司法鉴定、生物试剂的检测等众多领域的应用提供了极为广阔的前景。 从结构上看,功能化芯片实验室大体包括三个部分:

模拟集成电路实验报告

CMOS放大器设计实验报告 一、实验目的 1.培养学生分析、解决问题的综合能力; 2.熟悉计算机进行集成电路辅助设计的流程; 3.学会适应cadence设计工具; 4.掌握模拟电路仿真方法 6.掌握电子电路、电子芯片底层版图设计原则和方法; 7.掌握使用计算机对电路、电子器件进行参数提取及功能模拟的过程; 8.熟悉设计验证流程和方法。 二、实验原理 单级差分放大器结构如下图所示: 在电路结构中,M2和M3组成了NMOS差分输入对,差分输入与

单端输入相比可以有效抑制共模信号干扰;M0和M1电流镜为有源负载,可将差分输入转化为单端输出;M5管提供恒定的偏置电流。三、实验要求 设计电路使得其达到以下指标: 1.供电电压: 2.输入信号:正弦差分信号 3.共模电压范围为 4.差分模值范围 5.输出信号:正弦信号 6.摆率大于 7.带宽大于 8.幅值增益: 9.相位裕度: 10.功耗: 11.工作温度: 四、差分放大器分析

1、直流分析 为了使电路正常工作,电路中的MOS管都应处于饱和状态。 1.1 M2管的饱和条件: 1.2 M4管的饱和条件: 2.小信号分析 小信号模型如下:

由图可得: 2.1 增益分析 其中 2.2 频率响应分析由小信号模型易知: 其中 3.电路参数计算3.1确定电流 根据摆率指标:

根据功耗指标易知: 根据带宽指标: 综上,取: 3.2宽长比的确定 M4与M5:电流源提供的电流为,参数设为,根据电流镜原理,可以算出 M2与M3: 带入数据可得 取值为20,则取 M0与M1:这两个PMOS管对交流性能影响不大,只要使其下方的

北邮模拟CMOS集成电路实验报告

模拟CMOS集成电路实验报告 专业: 班级: 姓名: 学号:

实验一:共源级放大器性能分析 一、实验目的 1、掌握synopsys软件启动和电路原理图(schematic)设计输入方法; 2、掌握使用synopsys电路仿真软件custom designer对原理图进行电路特性仿真; 3、输入共源级放大器电路并对其进行DC、AC分析,绘制曲线; 4、深入理解共源级放大器的工作原理以及mos管参数的改变对放大器性能的影响 二、实验要求 1、启动synopsys,建立库及Cellview文件。 2、输入共源级放大器电路图。 3、设置仿真环境。 4、仿真并查看仿真结果,绘制曲线。 三、实验结果 1、原理图

2、幅频特性曲线 3、相频特性曲线

四、实验结果分析 器件参数: NMOS管的宽长比为10,栅源之间所接电容1pF,Rd=10K。 实验结果: 输入交流电源电压为1V,所得增益为12dB。 由仿真结果有:gm=496u,R=10k,所以增益Av=496*10/1000=4.96=13.91 dB 可见,实际增益大于理论增益。 补充:电阻改为1k后 实验二:差分放大器设计 一、实验目的 1.掌握差分放大器的设计方法; 2.掌握差分放大器的调试与性能指标的测试方法。

二、实验要求 1. 确定放大电路;2.确定静态工作点Q ; 3.确定电路其他参数。 4.电压放大倍数大于20dB ,尽量增大GBW ,设计差分放大器; 5.对所设计电路调试; 6.对电路性能指标进行测试仿真,并对测量结果进行验算和误差分析。 三、实验原理 平衡态下的小信号差动电压增益A V 为: β1= β2= β=μn C OX (W/L) 四、实验结果 W/L R 5 10 20 30 20K 14.3dB 15.6dB 16.8dB 17.3dB 30K 16.8dB 19dB 20.2dB 20.8dB 40K 20.1dB 20.9dB 21.7dB 22.4dB R 的增加,增益也增加。但从仿真特性曲线我们可以知道,这会限制带宽的特性,W/L 增大时,带宽会下降。为保证带宽, 选取W/L=5,R=40K 的情况下的数值,带宽约为1.18G ,可以符合系统的功能特性,实验结果见下图。 SS V SS D D I A =βI R =2β()R 2

集成电路封装实验手册

实验一有限元分析软件Ansys 8.0 的认知 一、实验目的: ANSYS有限元软件包是一个多用途的有限元法,其主要的分析功能包括结构分析、非线性分析、热分析、电磁场分析、电场分析、流体分析、耦合场分析。结构分析用于计算那些载荷作用于结构或部件上所引起的位移、应力、应变和力。热分析用于计算一个系统或部件的温度分布及其它热物理参数,如热量的获取或损失、温度梯度、热流密度等。通过本实验,让学习学会如何运用Ansys软件进行MCM组件技术的热-结构分析。 二、实验内容 有限元分析过程分建模、计算和后处理三个阶段。建模是对实物形状和工况条件抽象为有限元分析的计算模型;计算是由分析程序控制并在计算机上完成的对模型的计算;后处理则是对计算结果进行的各种处理和研究。实验的具体内容主要包括:建立有限元模型、施加载荷、求解与后处理。 三、实验报告要求: 1、按照实验报告册中要求具体填写; 2、实验预习报告部分的实验注意事项填写该实验的一些特殊要求和特殊规定等之类; 3、“实验过程及数据记录”一项中详细填写使用Ansys建模的具体流程可以以流程或1、 2、3、4的顺序记录; 4、实验结果分析简要说明Ansys软件的应用流程; 5、实验总结中主要填写通过该实验所学到的方法和体会。

实验二倒装焊焊点热-结构数值模拟 一、实验目的: 焊点的热疲劳失效(可靠性)是电子封装领域的关键问题之一。电子器件在封装及服役条件下,由于功率耗散和环境温度的变化,因材料的热膨胀失配在SnPb焊点内产生交变的应力和应变,导致焊点的热疲劳失效。 由于BGA封装中的焊点的几何尺寸很小,用一般的实验方法难以对热循环过程中焊点的应力、应变进行实时检测。理论方法(如有限元分析方法)可以对复杂加载条件下焊点中的应力、应变分布及其历史进行详尽的描述,是评价焊点可靠性的重要途径。 二、实验内容及要求: 了解倒装焊的基本结构,并通过软件仿真对其热-结构进行数值模拟。运用ANSYS 有限元软件对球栅阵列(BGA)封装中复合SnPb 焊点的应力、应变的分布进行有限元模拟,观察SnPb 焊料的蠕变行为和应力松弛现象。 三、建模要求和相关材料特性参数: 二维建模;模型结构分3层:最上层板为硅芯片,中间层为PbSn焊点,焊点下为焊盘,最下层为基板层。其几何尺寸示例如下:芯片尺寸为9mm×0.8mm,焊点尺寸为直径0.89mm,基板尺寸为10mm×0.5mm,实际建模时各部分尺寸大小应与示例芯片尺寸大小数量级一致;焊点数为4~10左右;芯片正常工作温度50-100摄氏度之间均可,环境温度为20摄氏度。 材料参数: 四、实验报告要求: 1、按照实验报告册中要求具体填写; 2、实验预习报告部分的实验注意事项填写该实验的一些特殊要求和特殊规定等之类;

数字集成电路设计实验报告

哈尔滨理工大学数字集成电路设计实验报告 学院:应用科学学院 专业班级:电科12 - 1班 学号:32 姓名:周龙 指导教师:刘倩 2015年5月20日

实验一、反相器版图设计 1.实验目的 1)、熟悉mos晶体管版图结构及绘制步骤; 2)、熟悉反相器版图结构及版图仿真; 2. 实验内容 1)绘制PMOS布局图; 2)绘制NMOS布局图; 3)绘制反相器布局图并仿真; 3. 实验步骤 1、绘制PMOS布局图: (1) 绘制N Well图层;(2) 绘制Active图层; (3) 绘制P Select图层; (4) 绘制Poly图层; (5) 绘制Active Contact图层;(6) 绘制Metal1图层; (7) 设计规则检查;(8) 检查错误; (9) 修改错误; (10)截面观察; 2、绘制NMOS布局图: (1) 新增NMOS组件;(2) 编辑NMOS组件;(3) 设计导览; 3、绘制反相器布局图: (1) 取代设定;(2) 编辑组件;(3) 坐标设定;(4) 复制组件;(5) 引用nmos组件;(6) 引用pmos组件;(7) 设计规则检查;(8) 新增PMOS基板节点组件;(9) 编辑PMOS基板节点组件;(10) 新增NMOS基板接触点; (11) 编辑NMOS基板节点组件;(12) 引用Basecontactp组件;(13) 引用Basecontactn 组件;(14) 连接闸极Poly;(15) 连接汲极;(16) 绘制电源线;(17) 标出Vdd 与GND节点;(18) 连接电源与接触点;(19) 加入输入端口;(20) 加入输出端口;(21) 更改组件名称;(22) 将布局图转化成T-Spice文件;(23) T-Spice 模拟; 4. 实验结果 nmos版图

PCR试验室芯片检测系统

PCR实验室芯片检测系统 设备配套及用途:用于结核病筛查、结核耐药及药物性耳聋检测等。 一、设备名称:实时荧光定量PCR仪 一)、技术指标及配置要求: 1、包括实时荧光定量PCR主机、电脑、软件及试剂,能够完成绝对定量、相对定量、 基于MGB 原理的高成功率SNP 分析和熔点曲线分析。 2、技术规格 2.1热循环系统 2.1.1加热冷却方式为半导体 2.1.2 温度范围4℃-99.9℃ 2.2样品系统 2.2.1适用8联管和96孔板,无需适配器或者辅助器,保证实验结果 2.2.2 机械设计应使样品无需移动,反应后可降温至4℃保存 2.3荧光系统 *2.3.1 四色滤光片同时检测4色荧光,实现多重定量、SNPs基因型分型等研究;能同时检测并区分VIC荧光和TAMRA荧光,以用于基因拷贝数(CNV)检测 *2.3.2软件支持Rox荧光校正去除移液误差 2.4光学系统 *2.4.1激发光源为卤钨灯,配备时间监测及自我诊断程序 *2.4.2检测系统为CCD摄像机成像,实时动态检测,动态显示,可同时检测4种荧光染料 2.5检测性能:能检测到≤10个拷贝数的模板,置信度99.7%,线形范围在109以上 2.6分析功能 2.6.1能进行绝对和相对定量,可同时对无限个数据进行分析、比对和作柱形图 2.6.2使用多组分算法,用于多色荧光分辨,去除不同荧光之间的干扰 2.7软件系统 2.7.1包括有绝对定量和相对定量软件,并有荧光校正软件 2.7.2有正版primer express引物探针软件,可用于PCR引物,巢式PCR,多重PCR 引物,RT-PCR引物的设计和自动测试 2.8试剂盒 *2.8.1 可提供原厂生产的基于taqman MGB技术检测microRNA的试剂盒 *2.8.2 可提供原厂家600万种SNP检测试剂盒 *2.8.3 可提供原厂生产的基因拷贝数变异(CNV)检测试剂盒 2.9 试剂、耗材为开放式 2.10装机指标99.7%的置信度下分辨5000和10000模板拷贝数的差异 3、资格证明:ISO9001质量认证、CE认证 4 有医疗器械注册证,且在国家药品监督管理局认可的有效期之内 二)配置清单 1、实时荧光定量PCR分析仪

NE555时基集成电路实验

NE555时基集成电路实验 2012年04月01日11:41 来源:本站整理作者:灰色天空我要评论(0) 1.常用电子元器件简介 (1)名称·电路符号·文字符号 (2)555时基集成电路 555时基集成电路是数字集成电路,是由21个晶体三极管、4个晶体二极管和16个电阻组成的定时器,有分压器、比较器、触发器和放电器等功能的电路。它具有成本低、易使用、适应面广、驱动电流大和一定的负载能力。在电子制作中只需经过简单调试,就可以做成多种实用的各种小电路,远远优于三极管电路。 555时基电路国内外的型号很多,如国外产品有:NE555、LM555、A555和CA555等;国内型号有5GI555、SL555和FX555等。它们的内部结构和管脚序号都相同,因此,可以直接互相代换。但要注意,并不是所有的带555数字的集成块都是时基集成电路,如MMV555、AD555和AHD555等都不是时基集成电路。 常见的555时基集成电路为塑料双列直插式封装(见图5-36),正面印有555字样,左下角为脚①,管脚号按逆时针方向排列。

(图5-36) 555时基集成电路各管脚的作用:脚①是公共地端为负极;脚②为低触发端TR,低于1/3电源电压以下时即导通;脚③是输出端V,电流可达2000mA;脚④是强制复位端MR,不用可与电源正极相连或悬空;脚⑤是用来调节比较器的基准电压,简称控制端VC,不用时可悬空,或通过0.01μF电容器接地;脚⑥为高触发端TH,也称阈值端,高于2/3电源电压发上时即截止;脚⑦是放电端DIS;脚⑧是电源正极VC。 555时基集成电路的主要参数为(以NE555为例)电源电压4.5~16V。 输出驱动电流为200毫安。 作定时器使用时,定时精度为1%。 作振荡使用时,输出的脉冲的最高频率可达500千赫。 使用时,驱动电流若大于上述电流时,在脚③输出端加装扩展电流的电路,如加一三极管放大。 (3)音乐片集成电路 它同模仿动物叫声和人语言集成电路都是模拟集成电路,采用软包装,即将硅芯片用黑的环氧树脂封装在一块小的印刷电路板上。 由于集成电路内不宜制作电感、电容及可调电阻等元器件,为了发挥它的作用,必须外接一些元器件。 注意:集成电路片在焊接时不能带电操作,只有焊接后,检查无误,才能接通电源。 2.555时基集成电路基础电路实验 为了便于利用较少的元器件,而达到基本学会555时基集成电路的制作和应用能力,我们筛选了以下元器件供大家实验参考(以下电路图5-37中不再标出数值)。

相关文档
相关文档 最新文档