文档库 最新最全的文档下载
当前位置:文档库 › 数电大题

数电大题

数电大题
数电大题

已知I CQ=2mA,U CES=0.7V。

1、在空载情况下,当输入信号增大时,电路首先出现饱和失真还是截止失真?若带负载情况呢?

2、空载和带载两种情况下U om分别为多少?

3、在图示电路中,有无可能在空载时输出电压失真,而带上负载后这种失真消除?

1、在空载情况下,当输入信号增大时,U CEQ- U CES与(V CC-U CEQ)相比,电路首先出现饱和失真.若带负载情况, U CEQ- U CES与I CQ R L’

电路首先出现截止失真

2、空载情况下U om=6-0.7=5.3V

3、带载情况下U om=2*(3//3)=3V

(2)R b1短路

由于U BE =0V ,T 截止,U C =12V 。 (3)R b1开路 临界饱和基极电流 实际基极电流

由于I B >I BS ,故T 饱和,U C =U CES =0.5V 。 (4)T 截止,U C =12V 。

(5)由于集电极直接接直流电源,U C =V CC =12V

m A

045.0 c

CES

CC BS ≈-=

R U V I βm A

22.0 b2

BE

CC B ≈-=

R U V I

若u1与地反接,则输出电压与输入电压的关系将产生什么变化?

电感反馈特点 变压器反馈特点

电容反馈

开路,T5截止输出为零;D1开路,T1T3基级电流等于T5的集电极电流,T2T4的集电极电流过大,功放管因功耗过大损坏,R2短路,T1T2发射结起前卫作用,U0=16.6,T1集电极

开路,则两个复合管电流放大倍数不同,输出电压正负半周不对称,正半轴小,R3短,则T1T2T3静态时不导通,有交越失真

电大作业

江苏开放大学实践性环节考核作业 学号 姓名杨杰 课程代码 110056 课程名称中国政治思想史 评阅教师 第次任务 共次任务 江苏开放大学 请同学们完成一篇读书报告。 首先请同学们学习第十二章第一节林则徐的有关内容,完成一篇关于林则徐学习西方思想的读书报告。 题目自拟。 字数不能少于800字。 格式要求: 题目:四号楷体。 正文:小四号宋体。 关于林则徐学习西方思想的读书报告 今天学习有关林则徐的章节,深为林则徐的精神所吸引。 1840年是中国近代史的开端,也就是从这个时候开始,伴随着西方帝国主义国家大举入侵中国,西方资产阶级的民主政治思想和文化开始大批传入中国。经过鸦片战争

的打击,大清帝国的进步官员和知识分子们,开始放眼看世界,林则徐等一批官员和知识分子开始关注西方资本主义的政治制度,军事技术等,并著作了一批介绍西方地理、政治军事制度的书籍《海国图志》《瀛环志略》等。这当中包括西方政治制度中的选举制,三权分立制等民主制度,这些都是近代中国民主思想发展的开端。 由于鸦片战争的惨痛教训,清政府开始寻求救亡图存的政策。而鸦片战争中西方帝国主义国家的坚船利炮让清政府触动最大,也就是在这背景下,清政府内的洋务派在全国各地掀起的“师夷之长技以制夷”的学习西方的改良运动。林则徐魏源等人进一步的对西方的民主制度进行了宣传并开始大量翻译外国书籍,包括政治,法律、科技、文史等各方面,开始广泛学习西方的活动。具体如下: 一、林则徐学习西方思想产生背景 二、当世界资本主义迅速发展的时候,中国还是一个封建社会,处在满族建立的清王朝的统治下。封建专制主义统治下的中国,在乾、嘉以后,衰败日剧,闭关更甚。 三、清政府的闭关锁国,阻碍了中国造船航海业的发展,阻碍了国内手工业的扩大和商品经济的发展,姐碍了资本主义萌芽的成长。而且闭关政策进一步助长了清朝统治集团置身于世界局势之外安于现状顽周保守的虚骄心理,使清代的政治更加腐败。 四、这个时期的林则徐,也和闭关时代其他开明进步分子一样,尽管对中外关系和外国情形有所触及,但严密的闭关政策和闭目塞听的风气,使他对外部世界的认识不可避免的也同样受到严重的局限。但林则徐的可贵之处,就在于他发现自己的知识不足后,没有装腔作势,反而正视现实。勇于放下架子,探求新知。他在中华民族和西方资本主义侵略势力的矛盾斗争的强烈刺激下,适应救亡图存的形式需要,由经世派而成为“开眼派”,并进而成为“学习派”,实现从开眼看现实到开眼看世界再到学习西方的连续飞跃。林则徐之所以和顽固分子不同,而且高于其他开明进步的爱国者,实现思想的连续飞跃,还有其主观因素: 五、第一,把民族自尊建立在比较科学的基础上。实事求是的作风和勇于批判现实的精神,使他有可能通过睁眼现实,比较正确的认清国情,看到“天朝上国”的腐朽与落后。 六、第二,把抵抗外国侵略建立在比较科学的基础之上。寻求“制夷之策”和富强之道的迫切愿望,使他有可能通过开眼看世界,对西方的侵略性与先进性有比较全面的了解,从而勇于抛弃夜郎自大的传统观念。 七、第三,具有敢于“触讳”、追求真理的勇气和精神。 八、二、林则徐学习西方思想的主要内容 九、林则徐认识到,要有效抵抗西方侵略,必须学习西方。发出了像西方学习的先声。在中国近代史上,第一次组织翻译班子,不拘一格,选拔熟悉外情的人才,有计划地,大规模地搜集和编译外国书报。 十、林则徐把西方各国作为一面镜子,已经参照出中国非惟在地理方位上不在世界的中心,特别是在国力方面也并不是万国来朝的中央大国。在中国近代,坦率而明确地承认西方先进和中国落后的是从林则徐开始,这是艰难而珍贵的第一步。一个国家和民族,能够认识自己不容易,反省自己的落后更不容易,特别是在中国对于自己有一种“世界中心”感觉的传统氛围中做到这一点,更是加倍地艰难。林则徐如实承认中国在船炮武器方面“不如夷”了,但却没有因此而悲观气馁、甘居下游。他认识到,当时的军事侵略不是来自比自己落后的民族,而是来自拥有船坚炮利的西方先进国家。甚至在赴戍伊犁的途中,他还念念不忘建立一支“器良、技熟、坦壮、心齐。”的新式水师。 十一、由于对世界各国的基本情况和国际关系有比较具体了解,他有效地利用了美、法两国与英国在对华贸易方面存在的矛盾,利用了英美两国尤其是英国内部均有人

杭州电子科技大学数电大作业实验报告电子琴

数电大作业实验报告如图是CODE3的case语句程序,该模块是一个编码器,即将输入的8位琴键信号进行编码,输出一个4位码,最多能对应16个音符(若有16个键)。 如图所示是INX2CODE的case语句程序,该模块是一个译码器,它将来自键盘输入的编码信号译码成数控分频器SPK0输出信号的频率控制字。 另外两个模块是M_CODE和DCD7SG,它们的case语句程序如上图所示。前者的功能是将来自CODE3的键盘编码译成简谱码和对应的音调高低值H,后者是一个数码管7段显示译码器,负责将简谱码译成数码管的显示信号。 如图所示是SPK0模块的内部结构。其中的计数器CNT11B是一个LPM宏模块,这是一个11位二进制加法计数器。在设置其结构参数时,应该选择同步加载控制,即sload(Synchronous Load),这样能较好地避免来自进位信号cout中可能的毛刺影响。异步加载aload极易受到随机窄脉冲的误触发,在此类电路中不宜采用。图中D触发器和反相器的功能是将用于控制加载的进位信号延迟半个时钟周期,一来也是为了滤除可能的毛刺,以免对加载更为可靠,因为这时,时钟上升沿正好处于加载脉冲的中点。 模块CODE3,INX2CODE和SPK0的主要工作过程是这样的: 当按琴键后,产生的数据经编码器获得一个编码(例如,当按下第二个键,对应0010,即2),它对应模块INX2CODE中的一个值(2对应390H)。当这个值(如390H)被置入模块SPK0中的11位可预置计数器中后。由于计数器的进位端与预置数加载段端相连,导致此计数器将不断以此值作为计数起始值,直至全1。

以下以预置值为390H为例,来计算SPK0输出信号的频率值。 当以390H为计数器起始值后,此计数器成为一个模(7FFH-390H=46FH=1135)的计数器。即每从CLK端输入1135个脉冲,BEEP端输出一个进位脉冲。由于输入的时钟频率是1MHz (周期是1us),于是BEEP输出的信号频率是1/(1135us)=841Hz。 由下面电子琴的顶层电路可见,SPK0的输出信号经过一个由D触发器接成的T’触发器后才输出给蜂鸣器。这时信号被作了二分频,于是,预置值390H对应的与蜂鸣器发音的基频F 约等于440Hz。 B 电子琴顶层电路中T’触发器有两个功能,一个作用是作二分频器;另一个作用是作为占空比均衡电路。这是因为由SPK0模块输出信号的脉宽极窄,功率极低,无法驱动蜂鸣器,但信号通过T’脉宽就均匀了(F 的占空比为50%)。 B 如图所示是电子琴顶层设计电路,含2个输入口和3个输出口。 1.工作时钟CLK,频率:1MHz。用于在主控模块中产生与琴键对应的振荡频率,以驱动蜂 鸣器发出相应的声音。 2.琴键输入DIN[7..0].8个音符,8位中只能有一位为0,即8个琴键中每一时刻只能按 一个键。 3.输出端口SPK0用于驱动蜂鸣器。 4.输出信号LED接数码管,用于显示对应的简码谱。H显示音高低。

电大英语网考统考真题题库及答案完美版)

真题一 一、交际英语 1、- Hello, I'm David Chen. Nice to meet you. - _______ A:Are you? B:Nice to meet you too. C:Yes. D:Very nice. 答案: B 2、-- Happy birthday to you! -- _______________ A:Happy birthday to you! B:I am very glad. C:That's all right. D:Thank you. 答案: D 3、- Mr. Jones, this is Sean, an English teacher from the United States. - ______________ A:What can I do for you?

B:Nice to meet you. C:Please keep in touch. D:Haven't seen you for ages. 答案: B 4、- I'm sorry. I lost the key. - ________ A:Well, it's OK. B:No, it's all right. C:You are welcome. D:You are wrong. 答案: A 5、- Could I speak to Don Watkins, please? - ________ A:Speaking, please. B:Oh, how are you? C:I'm listening. D:I'm Don. 答案: A 二、阅读理解 1、 Snowflakes look like white stars falling from the sky. But there have been times when snow has looked red, green,

哈工大数电大作业

H a r b i n I n s t i t u t e o f T e c h n o l o g y 数字电子技术基础大作业 课程名称:数字电子技术基础 设计题目:血型与状态机 院系: 班级: 设计者: 学号: 哈尔滨工业大学

血型逻辑电路设计 一实验目的 1.掌握采用可编程逻辑器件实现数字电路与系统的方法。 2.掌握采用Xilinx_ISE软件开发可编程逻辑器件的过程。 3.学会设计血型能否输血的数字电路。 4.掌握Verilog HDL描述数字逻辑电路与系统的方法。 二设计要求 1.采用BASYS2开发板开关,LED,数码管等制作验证能否输血的电路。 2.采用Xilinx_ISE软件进行编程、仿真与下载设计到BASYS2开发板。三电路图 1.电路模块图(简化) 应用: 2.内部电路组成(简化)

四 编程 1.源程序 module xuexing(M, N, P, Q, E,F,G,OUT,CTL,clk,bi); input M; input N; input P;

output E; output[3:0] F; output[3:0] G; output[7:0] OUT; output[3:0] CTL; reg E; reg[3:0] F; reg[3:0] G; reg[7:0] OUT; reg[7:0] OUT1; reg[7:0] OUT2; reg[7:0] OUT3; reg[7:0] OUT4; reg[3:0] CTL=4'b1110; output bi; reg bi; integer clk_cnt; reg clk_400Hz; always @(posedge clk) //400Hz扫描信号if(clk_cnt==32'd100000) begin clk_cnt <= 1'b0; clk_400Hz <= ~clk_400Hz; end else clk_cnt <= clk_cnt + 1'b1; //位控制 reg clk_1Hz; integer clk_1Hz_cnt; //1Hz发声信号 always @(posedge clk) if(clk_1Hz_cnt==32'd2*******-1) begin clk_1Hz_cnt <= 1'b0; clk_1Hz <= ~clk_1Hz; end else clk_1Hz_cnt <= clk_1Hz_cnt + 1'b1; always @(posedge clk_400Hz) CTL <= {CTL[2:0],CTL[3]}; //段控制 always @(CTL) case(CTL) 4'b0111: OUT=OUT1; 4'b1011:

2017年电大教育学考试题库及答案

2017年电大教育学考试题库及答案 第一章 一、判断题 1.20世纪在科学主义的推动下,教育学开始走向自然科学导向下的以定量为特征的发展方向。√ 2.工业社会教育模式的最大优点是高效率。√ 3.专门的教育机构──学校产生的条件是印刷术。X 4. 在现代教育体系中,学校教育形态是教育的主体形态。√ 5. 教育以影响人的身心发展为直接目标。√ 6. 正规教育相当于当今学校教育中的学历教育。√ 7. 教育的心理起源论的错误在于抹杀了教育的有意识性。√ 8. 教育要适应并促进人的身心的发展和适应并促进社会发展是教育学的基本规律。√ 9. 学校产生以前的教育是非正规教育。√ 10. 教育的质的规定性在于它是培养人的活动。√ 11. 教育手段是学校基于一定社会生产力和科学技术发展水平,向学生传授的知识和技能、灌输的思想和观点、培养的习惯和行为的总和。X 12. 教育是人类特有的一种社会现象,是培养人的一种社会活动。√ 13当今社会已经不存在非正规教育。x 14. 工业社会教育模式的弊端是“书本中心、课堂中心、教师中心”。√ 15. 教育学是研究教育问题,揭示教育规律的一门科学。X 二、选择题 1. 确立了教育学独立的学科地位的是(培根)。 2. 有一定的入学条件和规定的毕业标准,通常在教室环境中进行,使用规定的教学大纲、教材。这是(正规教育)。 3. 世界上最早的教育专著是(《学记》)。 4. (心理起源论)认为,教育起源于儿童对成人无意识的模仿。 5. “不愤不启,不悱不发”的启发教学出自(《论语》)。 6. 教育的主体活动是(培养人)。 7. 学校最早产生于(东方) 8. 在教育起源问题上,(生物起源论)否认了教育的社会性。 9. 生物起源论的代表著作是(《动物界的教育》)。 10. 根据教育活动的存在形式,教育分为(实体教育和虚拟教育)。 11. 教育的心理起源论的代表人物是(孟禄)。 12. 马克思主义教育学认为,教育起源于(劳动)。 13. 学校创立于(奴隶社会)。 14. 有固定的校舍,有稳定的教育周期,有统一的学制和人才培养目标,有固定的教师和学生。这是(正规教育)。 三.多选 1. 原始社会的教育的特点是(平等性,服务于生产和生活,在整个社会生产和生活中进行,教育手段原始 )。 2. 近现代社会的教育的特点是(培养全面发展的人由理想走向实践,教育与生产劳动相结合,普及教育和公共义务教育制度的建立,教育的法制化与民主化)。

哈工大数电大作业——学号后三位为模的计数器

数字电子技术应用Verilog HDL设计计数器 学院:航天学院 班级: 学号: 姓名: 教师:

设计要求:利用Verilog HDL设计一个以自己学号后三位为模的计数器。 设计步骤:首先我的学号后三位为114,因此计数器范围是0到113一共114个数。然后根据此要求编写功能程序以及激励源的相关程序,第三步在modelsim下进行实验调试,看所编程序能否实现预期功能,然后再把相关实验数据截图记录。 程序代码: modulejishuqi(out,reset,clk); output [7:0] out; inputreset,clk; reg [7:0] out; always @(posedgeclk) begin if(!reset)out<=8'h00; else if(out>=113)out=8'h00; else out<=out+1; end endmodule 激励源设置程序: `timescale 1 ns/ 1 ps modulejishuqi_test(); regclk; reg reset; wire [7:0] out; jishuqi i1 ( .clk(clk), .out(out), .reset(reset) ); initial begin #1 clk=0; #10 reset=0; #40 reset=1; end always #20 clk=~clk ; endmodule Modelsim仿真波形图:

注二进制数01110001化成十进制数为113,因此得到了正确的波形图。RTL Viewer Technology Map Viewer

数电大作业

数电作业 课程名称:数字电子技术基础课程时间:2015年秋 授课教师:康磊 学生姓名:XXX 学生班级: 学生学号:

联系电话: 哈尔滨工业大学英才学院 2015年12月 大作业一 一、设计目的

利用Verilog HDL设计一个电路,使其可以检测输入的一段由二进制数组成的序列,若序列中有连续的三个或者三个以上的1,则电路下一个时钟到来时输出为1,否则为0。状态转换图如图所示。 二、设计步骤 1、安装ISE14.2,并学会如何仿真。 2、根据状态图编写verilog程序。 3、仿真并生成仿真波形图。 4、保存项目并完成报告。 三、程序源代码 1、主程序 `timescale 1ns / 1ps module shudian1(clk,rst,din,out); input clk,rst,din; output out; reg[2:1] y, Y; reg out; parameter A=2'b00,B=2'b01,C=2'b10,D=2'b11; always @(posedge clk or negedge rst) begin if(!rst)y <= A;

elsey <= Y; end always@(y or din) begin case(y) A: begin out = 0; if(din) Y=B; else Y=A; end B: begin out = 0; if(din) Y=C; else Y=A; end C: begin out = 0; if(din) Y=D; else Y=A; end D: begin out = 1; if(din) Y=D; else Y=A; end default:begin out = 0; Y = A; end endcase end endmodule 2、测试程序 `timescale 1ns / 1ps module sudian11; // Inputs reg clk; reg rst; reg [20:0]data; assign din=data[20]; // Outputs wire out;

2020年最新电大《管理英语3》形成性考试题库(题目和答案)

2020 年最新电大《管理英语3》形成性考试题库 1.[单选题]交际用语:-I'm dog tired. I can't walk any further, Tommy. - Jenny. You can do it. A.No problem https://www.wendangku.net/doc/a25775082.html,e on C.No hurry 2.[单选题]交际用语: - I wish you success in your career. A.Go ahead B.It doesn't matter C.The same to you 3.[单选题]交际用语: -Sorry, I made a mistake again. - . Practice more and you'll succeed. B.Certainly not. C.Don't mention it. 4.[单选题]交际用语: -Would you like some more beer? - , please. A.Just a little B.No more C.I've had enough 5. [单选题]交际用语: - Could I help you with your heavy box? You look so tired. .I can manage it. (2分) A. Yes, please. B. AlI right. C. No, thanks. 6.[单选题]词汇与结构: The machine this morning for no reason. (2 分) A.broke off B.broke down C.broke up 7.[单选题]词汇与结构: A number of boys _ absent some time during the term. (2 分) A.have been B.has been C.will 8.[单选题]词汇与结构: Our new school building is construction. (2 分) A.under

数电大作业——数字抢答器

图 1 数字抢答器框图 数字抢答器的设计 1 设计目的 (1)熟悉集成电路的引脚安排。 (2)掌握各芯片的逻辑功能及使用方法。 (3)了解面包板结构及其接线方法。 (4)了解数字抢答器的组成及工作原理。 (5)熟悉数字抢答器的设计与制作。 2 设计思路 (1)设计抢答器电路。 (2)设计可预置时间的定时电路。 (3)设计报警电路。 (4)设计时序控制电路。 3 设计过程 3.1方案论证 数字抢答器总体方框图如图1所示。 其工作原理为:接通电源后,主持人将开关拨到“清除”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置于“开始”状态,宣布“开始”抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作“清除”和“开始”状态开关。

3.2电路设计 抢答器电路如图2所示。 图2 数字抢答器电路 该电路完成两个功能: 一是分辨出选手按键的先后,并锁存优先抢答者的编 号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。 工作过程:开关S 置于“清除”端时,RS 触发器的R 端均为0,4个触发器输出置0,使74LS148的ST =0,使之处于工作状态。当开关S 置于“开始”时,抢答器处于等待工作状态,当有选手将键按下时(如按下S 5),74LS148的输出,010012=Y Y Y ,0=EX Y 经RS 锁存后,1Q=1,BI =1,74LS48处于工作状态,4Q3Q2Q=101,经译码显示为“5”。此外,1Q =1,使74LS148ST =1,处于禁止状态,封锁其他按键的输入。当按键松开即按下时,74LS148的,1=EX Y 此时由于仍为1Q =1,使ST =1,所以74LS148仍处于禁止状态,确保不会出二次按键时输入信号,保证了抢答者的优先性。如有再次抢答需由主持人将S开关重新置于“清除”然后再进行下一轮抢答。 (74 LS148为8线—3线优先编码器,表2.1为其真值表,图2.3为逻辑图。)

2020年国家开放大学电大《管理学》考试题库

管理方法与艺术期末练习题(一) 1.教材对管理的性质进行了阐述,下列(A )的说法不正确。 A.管理具有时效性 B.管理具有科学性 C.管理具有艺术性 D.管理具有二重性 2.社会环境对组织的发展具有一定的作用,除了下列(B )项。 A.影响作用 B.领导作用 C.制约作用 D.决定作用 3.管理的首要职能是(D )。 A.指挥 B.控制 C.协调 D.计划 4.就管理的职能而言,法约尔认为,( C)。 A.管理就是决策 B.管理就是要确切地知道要别人干什么,并注意他们用最好最经济的方法去干 C.管理就是实行计划、组织、指挥、协调和控制 D.管理就是经由他人去完成一定的工作 5.“管理就是决策”是下列(C )的观点? A.泰罗 B.法约尔 C.西蒙 D.韦伯 6.“三个和尚”的故事中,“一个和尚挑水吃,两个和尚抬水吃,三个和尚没水吃。”主要揭示了下列各项管理职能中(B )的重要性。 A、计划 B、人事 C、协调 D.激励 7、对于基层管理者来说,具备良好的( C )是最为重要的。 A人际技能 B概念技能 C技术技能 D管理技能 8.西方早期的管理思想中,(A)是研究专业化和劳动分工的经学家。 A.亚当·斯密 B.查尔斯·巴比奇 C.泰罗 D.大卫·李嘉图 9.泰罗科学管理理论的中心问题是(C)。 A.科学技术B.加强人的管理 C.提高劳动生产率D.增强责任感

10、法约尔提出的管理五项职能或要素是(B)。 A、计划、组织、指挥、协调和控制 B、计划、组织、决策、领导和控制 C、计划、组织、决策、协调和控制 D.计划、组织、激励、协调和控制11.“管理的十四项原则”是由(D)提出来的。 A、韦伯 B、泰罗 C、梅奥 D.法约尔 12.泰罗是西方古典管理理论在美国的杰出代表,他被誉为(B)。 A、工业管理之父 B、科学管理之父 C、经营管理之父 D.行政管理之父13.在组织中存在着正式组织与非正式组织,正式组织与非正式组织之间的一个重大的区别就是,非正式组织是以(B)为重要标准。 A、感情的逻辑 B、正规的程序 C、科学的理念 D.效率的逻辑 14.古典管理理论对人性的基本假设,认为人是(B)。 A.复杂人B.经济人 15.根据过去和现在的已知因素,按照事物的发展规律,运用科学方法,对未来进行预先估计,并推测事物未来的发展趋势的活动过程,可以称为(A)。A、预测 B、定性预测 C、决策 D、计划 16.在进行产品价格决策时,需要做的是(C)。 A、长期预测 B、中期预测 C、短期预测 D、定性预测17.在预测过程中,如果缺乏或难以获取足够数据的资料,而主要运用个人的经验和知识进行判断,这时需要采用(C)。 A、移动平均法 B、定性预测法 C、定量预测法 D、趋势外推法18.定量预测是运用数学模型对事物未来的发展趋势作出定量、具体描述的方法。它需要完整有效的(D)作基础。 A.科学技术B.模拟试验室 C.信息 D、数据资料

数电大作业

子技术》大作业 电子技术基础是一门实践性很强的课程。数字电路大作业是在学完本门课程后,对所学知识的综合性考察。大作业分成两种形式:理论设计和实物制作,大家可以自由选择,要求附后。希望同学们借助教材、参考书以及互联网等,充分发挥想象力和创造力,认真完成本次大作业。 【理论设计要求】 1.每3人一组,完成其中一个题目。每人写出一份设计报告,而每组只提交一份报告,网上提交,完成时间截至第18周,逾期系统自动关闭。 2.鼓励有条件的同学应用Multisim或Orcad/Pspice等软件对所设计电路进行仿真。 3.不能使用单片机实现。 4.将对设计报告进行评定打分并作为平时成绩计入期末总成绩。 【实物制作要求】 1.制作出一个实用的电子电路,具体不限,能成功演示其功能。 2.一人或者两人一题。 3.写出简单的设计说明,并于网上提交。 4.将对实物制作情况评定打分并作为平时成绩计入期末总成绩。 【报告内容】 1. 目录 2. 设计目的及要求

工作原理、系统方框图 4. 各部分选定方案及电路组成、相关器件说明 5. 调试过程(如果没做实物,可免) 6. 设计结论 7 设计心得与总结(要具体落实到小组各成员) 8. 参考文献 9. 附录 附录一:元器件清单 附录二:总体设计图 附录三:仿真结果(可无) 附录四:小组各成员所做工作说明(设计者排序),每个成员对最终方案的贡献(哪个地方是谁设计的) 【理论设计题目】 NO.1 设计一个输血—受血判别电路,当输血者和受血者的血型符合相关规则,配型成功,受血者可以接受输血者提供的血液,用LED指示配型成功与否。 NO.2 循环彩灯电路

数电大作业流水灯

数电大作业流水灯-标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

综合设计题 一.流水灯 1.总体思路 8位流水灯始终是一亮七暗的,根据这个特点可以考虑采用74LS138译码器的输出来实现流水灯的循环电路。同时,还可以用74LS161四位二进制计数器来控制74LS138的输入端,从而实现对灯亮灭的控制 2.使用元件 3—8译码器74LS138,四位二进制计数器74LS161,555定时器,七段数码管译码器驱动器4511芯片,数码管,电容,电阻,非门若干。 3.电路原理框图 4.元器件在本电路中的主要功能 ○1555定时器 555 定时器在本电路中的作用主要是产生占空比可调的矩形脉冲从而 可以改变灯亮时间,而且它的振荡周期为T=0.7 (+2)C。此处C=0.1uF.由电路参数可知,当 R1为10kΩ时,灯亮时间为0.0014s.它的功能主 要由两个比较器决定。两个比较器的输出电压控 制 RS 触发器和放电管的状态。在电源与地之间加 上电压,当 5 脚悬空时,则电压比较器 C1的同相 输入端的电压为 2VCC /3,C2的反相输入端的电压 为VCC /3。若触发输入端 TR 的电压小于VCC /3,则比较器 C2的输出为 0, 可使 RS 触发器置 1,使输出端 OUT=1。如果阈值输入端 TH 的电压大于 2VCC/3,同时 TR 端的电压大于VCC /3,则 C1的输出为 0,C2的输出为 1, 可将 RS 触发器置 0,使输出为 0 电平。电路图如下:

○2 74LS161计数器 74LS161计数器在本电路中的作用是产生000-111脉冲控制 74LS138的A 2A 1 A ,依次选通Y -Y 7 。产生脉冲序列也可以用74LS191是 四位二进制同步加/减计数器,与74LS161相比,它能够实现减计数,此处只需要求产生脉冲序列,而且74LS161是常用的计数器,所以选择74LS161产生脉冲序列。所以采用反馈置数法,产生000-111脉冲 序号,时钟脉冲外部接入,原理图如下图所示 ○374LS138译码器

哈工大数电大作业-作业1-计数器

哈工大数电大作业-作业1-计数器 数电大作业 1 计数器 一、实验目的 1.学习使用Verilog HDL语言,并学会使用进行Quartus H软件编 程和仿真; 2.掌握数字电路的设计方法,熟悉设计过程及其步骤; 3.培养学生的动手能力,能学以致用,为今后从事电子线路设计 打下良好基础; 4.巩固加深对数电知识的理解,在仿真调试过程中,能结合原理 来分析实验现象; 二、实验内容 1.设计内容及要求 1)利用 Verilog HDL 设计一个以自己学号后三位为模的计数器; 2)编写源程序;

3)给出仿真电路图和仿真波形图; 2.需求分析: 由于本人的学号为 7112130501,后 3 位为 501,为便于观察,选取中间三位为进制来编写加法计数器,以保证与他人的区别性,即编一个以 213 为模的加法计数器。若采用同步清零的方法,则计数为 0~212,化为二进制数即为 0 0000 0000计到 0 1101 0100。

3. 编写源代码: module count_213(out, data, load, reset, elk); output [8:0] out; i 叩ut [8:0] data; input load ,reset, elk; reg [8:0] out; always ?(posedge elk) begin 辻(!reset)out=9, hOOO; else if (load)out=data; else if (out>=212)out=9, hOOO; else out=out+1; end endmodule 程序说明: 该计数器为一个9位计数器,计数范围0~212,具有同步同 步置数和同步清零功能。时钟的上升沿有效,当elk 信号的上升 沿到来时,如果清零信号为0,则清零;若不为0,计数器进行计 数,计至212处同步清零。 4. 画出仿真电路图: 图1为同步置数、同步清零加法计数器的仿真电路图 //elk 上升沿触发 〃同步清零,低电平有效 //同步预置 〃计数最大值为212,超过清零 〃计数

现代管理专题电大作业

现代管理专题选择题 1、知识经济是以( C )资产投入为主的经济。 C. 无形 2、知识经济依靠无形资产的投入实现可持续发展的前提是依靠 ( D )。 D. 世界经济一体化 3、知识经济的重要基础是( A )。 A. 知识与技术的研发 4、知识经济的发展过程中处于中心地位的是( B ) B. 信息和通讯技术 5、知识经济实现的先决条件是( C ) C.劳动力的素质和技能 6、工业经济向知识经济转变,在产业结构调整上表现为经济重心由制造业向( D )转换。 D. 服务业 7、知识经济是以( A )为导向的经济。 A. 知识决策 8、在知识经济新的市场观念下,宏观调控( B )。 B. 更为必要 9、涉及谁知道某些事和谁知道如何做某些事的信息的知识属于知道( B )的知识。 B. 谁 10、知识经济的灵魂是(B )。 B.创新 11、知道是什么的知识是指有关( C )方面的知识。 C.事实 12、经合组织认为:知识经济是建立在知识和信息的(A )、分配和使用基础之上的经济。 A.生产 13、在工业经济之后,出现了一种新的经济形态,称之为(B )。 B.知识经济 14、知道为什么的知识是指客观事物发展、变化的( D )和规律方面的知识。 D.原理 1、企业再造的成功保障是( D )。 D.以顾客为中心

2、以流程为中心的企业和以职能为中心的企业的根本不同是 ( D )。 D.企业的基本结构不同 3、流程中的所有工序分开,同时独立的进行,最后将各工序的半成品或部件进行汇总和组装。这种流程叫做(D )。 D.平行式流程 4、企业再造的最终目的是实现企业形态由传统的以职能为中心的职能导向型向新型的以( A )为中心的流程导向型的根本转变。 A.流程 5、企业再造理论是由(D )最先提出的。 D.迈克尔.哈默和詹姆斯.钱皮 6、企业再造的最终目标是将企业变成( B )。 B.流程导向型 7、在企业流程再造中,组织所担负的任务决定了,企业必须坚持( A )。 A. 以人为本的团队式管理 8、企业的业务流程是企业已输入各种原料和顾客需求为起点,到企业创造出( B )为终点的一系列活动。 B.对顾客有价值的产品(或服务) 9、企业从事生产或提供服务的基本活动组成的流程以及为这些基本活动提供支持的活性组织的流程组成了企业日常运作的( C )。 C. 经营流程 10、为完成目标而进行的一系列活动有机结合构成的流程是企业的( A )。 A. 管理流程 11、流程再造工程指导团的主要任务是( D )。 D. 制定企业再造的总体规划和决策 12、多道工序在互动的情况下同时进行,叫做( A )。 A. 同步工程 13、按流程处理对象的不同,可以分为实物流程和( B )等。 B. 信息流程 14、流程中的某一工序只有在前道工序完成的情况下才能进行,即所有工序都按先后顺序进行。这种流程叫做(C )。 C.连续式流程 1、企业知识资源的作用是(BC )。 B.是企业获取竞争优势的源泉 C.为企业创造者巨大的市场机会和财富 2、知识经济的繁荣不是直接取决于资源、资本、硬件技术的数量、规模和增量,而是直接依赖于(AC )的积累和利用。 A.知识 C.有效信息

2020年电大考试学位英语考试题库及答案

电大学位英语考试的复习资料 Test 1 第一部分:交际用语(共5小题;每小题3分,满分15分) 此部分共有5个未完成的对话,针对每个对话中未完成的部分有4个选项,请从A、B、C、D四个选项中选出正确选项。 1-5 BACBB 1. —How are you, Bob? ---你好吗,鲍勃? —____________ Ted. ---我很好,特德。 A. How are you? B. I’m fine. Thank you. C. How do you do? D. Nice to meet you. 2. —Thanks for your help. ---谢谢你的帮忙。 —____________ ---我很荣幸。 A. My pleasure. B. Never mind. C. Quite right. D. Don’t thank me. 3. —Hello, I’m Harry Potter. ---你好,我是哈里﹒波特。 —Hello, my name is Charles Green, but ____________.---你好,我是查理﹒格林,你可以叫我查理。 A. call my Charles B. call me at Charles C. call me Charles D. call Charles me 4. —Paul, ____________? ---鲍,那边在说话的人是谁? —Oh, that’s my father! And beside him, my mother. ---哦,那是我的爸爸,在他旁边的是我妈妈。 A. what is the person over there B. who’s talking over there C. what are they doing D. which is that 5. —Hi, Tom, how’s everything with you? ---你好,汤姆,近来都好吗? —____________, and how are you? ---还不错,你呢? A. Don’t mention it B. Hm, not too bad C. Thanks D. Pretty fast 第二部分:阅读理解(共10小题;每小题3分,满分30分) 此部分共有2篇短文,每篇短文后有5个问题。请从每个问题后的A、B、C、D四个选项中选出正确选项。 6-10 CBDDD 11-15 DBDAC Passage 1 The French Revolution broke out in 1789. At the time France was in a crisis. The government was badly run and people’s lives were miserable. King Louis XIV tried to control the national parliament and raise more taxes. But his effort failed. He ordered his troops to Versailles. The people thought that Louis intended to put down the Revolution by force. On July 14,1789, they stormed and took the Bastille, where political prisoners were kept. Ever since that day, July 14 has been the French National Day. Louis tried to flee the country in 1792 to get support from Austria and Prussia. However, he was caught and put in prison. In September 1792, the monarchy was abolished. In the same year, Louis was executed. A few months later his wife, Marie also had her head cut off. The Revolution of France had frightened the other kings of Europe. Armies from Austria and Prussia began to march against France. The French raised republican armies to defend the nation. The Revolution went through a period of terror. Thousands of people lost their lives. In the end, power passed to Napoleon Bonaparte. (190 words) 法国大革命于1789年爆发。当时法国正处在一片混乱中,政府腐败,人民生活凄惨。路易十六世企图控制议会并增加赋税,但最后失败了。他命令军队进入凡尔赛。人民都认为他企图用武力镇压革命。1789年7月14日,人民群众爆发了,攻占了关押政治犯的巴士底狱。从那天以后,7月14日就被定为法国的国庆日。1792年,路易十六企图逃离法国,并从奥地利和普鲁士获得支持,但他被捕了。1792年王室被废除,路易十六被处以死刑。几个月后,他的妻子,玛丽也被处以死刑。法国大革命令欧洲其它国家的国王感到害怕。奥地利和普鲁士的军队进入了法国。法国人民组织起了共和军来保卫祖国,革命陷入了一个艰苦阶段。成千上万的人牺牲了。最后,权力落入了拿破仑﹒波拿巴手中。 6. What’s this passage about? 这篇文章主要讲了什么? A. France. B. King Louis. C. The French Revolution. 法国大革命 D. Europe. 7. Which did not happen in 1789? 以下哪件事不是发生在1789年? A. The French Revolution broke out. B. The national economy was developing rapidly. 国家经济快速发展。 C. The government wasn’t well run.

数电大作业智能数字钟

数电大作业智能数字钟 IMB standardization office【IMB 5AB- IMBK 08- IMB 2C】

智能数字钟设计? 一、问题重述? 数字电子钟是一种用数字显示秒﹑分﹑时的记时装置,与传统的机械钟相比,他具有走时准确﹑显示直观﹑无机械传动装置等优点,因而得到了广泛的应用:小到人们的日常生活中的电子手表,大到车站﹑码头﹑机场等公共场所的大型数显电子钟。 本课程设计要用通过简单的逻辑芯片实现数字电子钟。要点在于用555芯片连接输出为一秒的多谐振荡器用于时钟的秒脉冲,用74LS160(10进制计数器)74LS00(与非门芯片)等连接成60和24进制的计数器,再通过七段数码管显示,构成了简单数字电子钟。要求: (1)完成设计一个有“时”,“分”,“秒”(23小时59分59秒)显示且有校时功能的电子钟; (2)完成对“时”、“分”的自动校时。 二、设计目的? 1.了解智能数字钟的工作原理; 2.设计出一个能实现清零、进位、显示时分秒等功能的智能数字钟; 3.正确使用multisim 软件对电路进行仿真及观察; 4.通过此次设计实验加深对3—8译码器、计数器等集成逻辑芯片的理解和运用。 三、设计要求? 1.用555定时器设计一个秒钟脉冲发生器,输入1HZ的时钟;(对已有1kHz频率时钟脉冲进行分频); 2.能显示时、分、秒,24小时制;3.设计晶体震荡电路来输入时钟脉冲; 4.用同步十进制集成计数器74LS160设计一个分秒钟计数器,即六十进制计数器;

5.用同步十进制集成计数器74LS160设计一个24小时计数器;6.译码显示电路显示时间; 7.用与非门芯片及一些基本芯片设计一个可以自动校时的电路。 四、设计过程? 总体思路 由秒及分的60进制,分别到59时进行对分和时进行进位,而时为24进制,当到达23时,之后进行清零,从而实现数字时钟的相应功能。分秒功能的实现:用两片74LS160组成60秒、分、时分别为60、60和24进制计数器。秒、分均为六十进制,即显示进制递增计数器。时为二十四进制计数器,显示为00~23,个位仍为十进制,而十位为三进制,但当十进位计到2,而个位计到4时清零,就为二十四进制了。时功能的实现:用两片74LS160组成24进制递增计数器。结构框图及说明 在产生信号时可采用两种方法,方法(1)采用555定时器及分频器,而方法(2)直接利用函数信号发生器。 电路工作原理? 振荡器是数字钟的核心。振荡器的稳定度及频率的准确度决定了数字钟计时的准确程度,通常选用石英晶体构成的振荡器电路。一般来说,振荡器的频率越高,计时精度越高。如图5所示调节电阻R2可以改变输出信号频率,用以得到所需的信号频率。利用555定时器进行产生信号,形成晶振电路,如下 图二?555定时器 用三片74LS160可以构成三级十分频器,将1KHz矩形波分频得到1Hz基准秒计时信号。它的功能是产生标准秒脉冲信号。原理如下 图三?分频电路

相关文档