文档库 最新最全的文档下载
当前位置:文档库 › 基于FPGA的四路抢答器设计

基于FPGA的四路抢答器设计

基于FPGA的四路抢答器设计
基于FPGA的四路抢答器设计

摘要

本文介绍了以FPGA为基础的四路抢答器的设计,此次设计是一个有4组抢答输入,并具有抢答计时控制,按键消抖以及积分显示等功能的通用型抢答器。主持人有4个按键控制,可以进行开始抢答,对各抢答小组成绩进行相应加减操作以及所有积分重置。此次设计程序使用verilog语言编写,并且使用modelsim进行相关仿真,最后在FPGA开发板上烧录程序进行实际操作演示实现了相应功能,达到了此次设计的目的。本设计采用FPGA 来做增强了时序控制的灵活性,同时由于FPGA的IO端口资源丰富,可以再本设计基础上稍加修改可以重复设计出具有多组输入的抢答器。

关键字:按键消抖;显示;仿真;四路抢答;

Abstract

In this paper,the designof four channel responder based on FPGA isproposed. The design containsfour channel input, and also it has timing function,button-stop-shaking function, score display function.And the result ofthe designis auniversal responder. Thehost has fourbuttons to control,inorder to startresponse, add or sub the scores for each group and clear all group scores. Thedesign program uses veriloglanguage to write software.Andmodelsimis usedto simulate thefunction on computer.At last the actual design results are demonstratedon the FPGA development board, and the functions are well veified. The result achieves the purpose ofthedesign.The design usesFPGA toenhance the flexibility oftiming control. Atthe same time because of IO port resouresin FPGAare much rich,if you want to designmore channels responder, you justonly repeat design on the basicofthedesi gn which isslightly modified.

Keywords:button-stop-shaking; display; simulate;four channel responder;

目录

1?引言?错误!未定义书签。

2?FPGA原理及其相关工具软件的介绍?错误!未定义书签。

2.1?FPGA开发过程与应用................................................................... 错误!未定义书签。

2.1.1?FPGA发展历程及现状?错误!未定义书签。

2.1.2 ...................................................................... F PGA工作原理?错误!未定义书签。

2.1.3FPGA开发流程

错误!未定义书签。

2.2?Quartus II软件......................................................................... 错误!未定义书签。

2.3?Simulink软件.............................................................................. 错误!未定义书签。

2.3.1?代码仿真?错误!未定义书签。

2.3.2?门级仿真和时序仿真..................................................... 错误!未定义书签。3实验步骤及仿真调试结果?错误!未定义书签。

3.1功能描述及设计架构................................................................. 错误!未定义书签。

3.2?抢答器程序流程图和各模块软件代码分析................................. 错误!未定义书签。

3.2.1抢答器程序结构及主程序流程图?错误!未定义书签。

3.2.2主控制及按键输入模块?错误!未定义书签。

3.2.3?计时模块............................................................................... 错误!未定义书签。

3.2.4?BCD显示模块?错误!未定义书签。

3.3?顶层模块连线及开发板硬件配置................................................. 错误!未定义书签。

3.4?modelsim仿真?错误!未定义书签。

4?结论............................................................................................................... 错误!未定义书签。谢辞.............................................................................................................. 错误!未定义书签。参考文献?错误!未定义书签。

1引言

随着电子技术的发展,现在的抢答器功能越来越强,可靠性和准确性也越来越高。能够实现抢答器功能的方式有多种,可以采用前期的模拟电路、数字电路或模拟与数字电路相结合的方式,但这种方式制作过程复杂,而且准确性与可靠性不高,成品面积大。

对于目前抢答器的功能描述,如涵盖抢答器、抢答限时、选手答题计时及犯规组号抢答器具有抢答自锁,灯光指示、暂停复位、电子音乐报声、自动定时等功能,还有工作模式的切换和时间设定,对于这些,随着科学技术的发展,肯定还要得到进一步的改进。一般都要趋向于智能化。

在各类竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一名选手先答题,必须要有一个系统来完成这个任务。如果在抢答过程中,只靠人的视觉是很难判断出哪组先答题。利用FPGA来设计抢答器,使以上问题得以解决,即使有两组的抢答时间相差几微秒,也可分辨出哪组优先答题。

抢答器是一种应用非常广泛的设备,在各种竞赛、抢答场合中,它能迅速、客观地分辨出最先获得发言权的选手。早期的抢答器只由几个三极管、可控硅、发光管等组成,能通过发光管的指示辨认出选手号码。现在大多数抢答器均使用高速处理芯片和数字集成电路,从开始的单片机到现在的ARM或者FPGA控制,并越来越走向成熟,同时增加了许多新功能,如选手号码显示、抢按前或抢按后的计时、选手得分显示功能。像这类抢答器,制作过程简单,准确性与可靠性高,而且安装维护简单。

对于抢答器的应用,如早期的数字电路,随着科技的逐步发展,进而到了单片机以及更高的FPGA或ARM的控制来实现其功能,而且功能齐全,电路简单,成本低,性能高,真正朝着有利的方向发展。

2FPGA原理及其相关工具软件的介绍

2.1FPGA开发过程与应用

随着现场可编程逻辑器件越来越高的集成度,加上不断出现的I/O标准、嵌入功能、高级时钟管理的支持,使得现场可编程逻辑器越来越广泛。

2.1.1FPGA发展历程及现状

从Xilinx公司推出了世界上第一片FPGA(现场可编程逻辑芯片),FPGA已经历几十年的发展。从最初的一千多可利用门,发展到90年代的几十万个可利用门,到十一世纪又陆续推出了几千万门的单片FPGA芯片。FPGA使用灵活,适用性强,特别适用于复杂逻辑的设计,有利用电子系统小型化,而且其开发周期短、开发投入少、芯片价格不断降低,促使FPGA越来越多地取代了ASIC的市场。

2.1.2FPGA工作原理

FPGA采用了逻辑单元阵列LCA(Logic CellArray)这样一个概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Bl ock)和内部连线(Interconnect)三个部分。FPGA的基本特点主要有:

1)采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。

2)FPGA可做其它全定制或半定制ASIC电路的中试样片。

3)FPGA内部有丰富的触发器和I/O引脚。

4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。

5)FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。

可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。

FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。

加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。F PGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。当需

要修改FPGA功能时,只需换一片EPROM即可。这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活。

2.1.3FPGA开发流程

FPGA开发流程可以分为如下几步:

①设计输入,设计输入主要包括原理图输入、状态图输入、波形图输入以及某种硬件描述语言,比如说是VHDL、Verilog的源程序。它是利用这些输入去描述一个电路的功能。

②功能仿真,功能仿真就是利用相关仿真工具对相关电路进行功能级别仿真,也就是说对你的输入设计的逻辑功能进行相关的模拟测试。在功能上面来了解电路是否能够达到预期要求。这里的功能仿真纯粹是模拟性质的,不会设计的任何具体器件的硬件特性。

③综合,综合就是行为或者功能层次表达的电子系统转换成低层次门级电路的网表。

④布局布线,就是将综合后的网表文件针对某一个具体的目标器件进行逻辑映射。此时应该使用FPGA厂商提供的实现与布局布线工具,根据所选芯片的型号,进行芯片内部功能单元的实际连接与映射。

⑤时序验证,就是要使得时序仿真过程中,建立与保持时间要符合相关的制约,以便数据能被正确的传输。使仿真既包含门延时,又包含线延时信息。能较好地反映芯片的实际工作情况。

⑥生成SOF等文件,此文件可以通过调试器把它下载到系统中间去。而FPGA设计流程的其他步骤基本上由相关工具去完成,因此只要自己设置好相关参数,不要人为干预太多。而验证的话就需要用户花费大量的时间去完成。

2.2QuartusII软件

此次所使用的软件是Quartus II9.0,编程语音是verilog HDL。

QuartusII是Altera提供的FPGA/CPLD开发集成环境,Altera是世界最大可编程逻辑器件供应商之一。Quartus II在21世纪初推出,是Altera前一代FPGA/CPLD集成开发环境MAX+plusII的更新换代产品,其界面友好,使用便捷。在Quartus II上可以完成设计输入、HDL综合、布线布局(适配)、仿真和下载和硬件测试等流程,它提供了一种与结构无关的设计环境,使设计者能方便地进行设计输入、快速处理和器件

编程。

Altera的QuartusII 提供了完整的多平台设计环境,能满足各种特定设计的需要,也是单芯片可编程系统(SOPC)设计的综合性环境和SOPC开发的基本设计工具,并为AlteraDSP开发包进行系统模型设计提供了集成综合环境。Quartus II设计工具完全支持VHDL、Verylog的设计流程,其内部嵌有VHDL、Verilog逻辑综合器。QuartusII也可以利用第三方的综合工具,如Leonardo Spectrum、Synplify Pro、FPGAComplier II,并能直接调用这些工具。同样,Quartus II具备仿真功能,同时也支持第三方的仿真工具,如ModelSim。此外,Quartus II与MATLAB和DSPBuilder结合,可以进行基于FPGA的DSP系统开发,是DSP硬件系统实现的关键EDA工具。

Quartus II包括模块化的编译器。编译器包括的功能模块有分析/综合器(Analysis &Synthesis)、适配器(Filter)、装配器(Assembler)、时序分析器(Timing Analyze r)、设计辅助模块(Design Assistant)、EDA网表文件生成器(EDA Netlist Writer)和编辑数据接口(Complier Database Interface)等。可以通过选择StartComplication来运行所有的编译器模块,也可以通过选择Start单独运行各个模块。还可以通过选择Complier Tool(Tools菜单),在Complier Tool窗口中运行该模块来启动编辑器模块。在ComplierTool 窗口中,可以打开该模块的设置文件或报告文件,或打开其他相关窗口。

图七中所示的上排是Quartus II编译设计主控界面,它显示了Quartus II自动设计的各主要处理环节和设计流程,包括设计输入编辑、设计分析与综合、适配、编程文件汇编(装配)、时序参数提取以及编程下载几个步骤。在图七下排的流程框图,是与上面的QuartusII设计流程相对照的标准的EDA开发流程。

图一、QuartusII设计流程

2.3Simulink软件

2.3.1代码仿真

在完成一个设计的代码编写工作之后,可以直接对代码进行仿真,检测源代码是否符合功能要求。这时,仿真的对象为HDL代码,比较直观,速度比较快,可以进行与软件相类似的多种手段的调试(如单步执行等)。在设计的最初阶段发现问题,可以节省大量的精力。

1.设计HDL源代码:可以使VHDL语言或Verilog语言。

2.测试激励代码:根据设计要求输入/输出的激励程序,由于不需要进行综合,书写具有很大的灵活性。

3.仿真模型/库:根据设计内调用的器件供应商提供的模块而定,如:FIFO(Altera常用的FIFO有:lpm_fifo/lpm_fifo_dc等)、DPRAM等。

2.3.2门级仿真和时序仿真

使用综合软件综合后生成的门级网表或者是实现后生成的门级模型进行仿真,不加入时延文件的仿真就是门级仿真。可以检验综合后或实现后的功能是否满足功能要求,其速度比代码功能仿真要慢,但是比时序仿真要快。

在门级仿真的基础上加入时延文件“.sdf”文件的仿真就是时延仿真。优点是:比较真实的反映逻辑的时延与功能,缺点是速度比较慢,如果逻辑比较大,那么需要很长的时间。

在这里仿真以Altera的器件为例。利用经过综合布局布线的网表和具有时延信息的反标文件进行仿真,可以比较精确的仿真逻辑的时序是否满足要求。

3实验步骤及仿真调试结果

3.1功能描述及设计架构

本文设计了一个通用型电子抢答器:有8个按键输入,其中4个按键为四组抢答选手的输入,另4个分别为主持人的开始抢答、加分、减分以及积分重置操作按键。有3个BC

D数码管进行显示,其中1个显示抢答者组号,另外2个显示积分。并有8个LED灯用来提示相应抢答倒计时时间。四组抢答选手,各自可手动按按钮申请抢答权;回答正确加1分,回答错误减1分,违规抢答减1分,不抢答不加分不扣分,各组初始积分为10分。

抢答器具体功能如下:

1、设置一个抢答控制开关Start,该开关由主持人控制;只有当主持人按下开始键才能抢答;在按开始按钮前抢答属于违规。

2、抢答器具有定时抢答功能,且一次抢答的时间设定为8 秒。当主持人启动"开始"键后,8个红色LED灯全亮,每流失一秒,LED灯则熄灭一个,从右到左依次熄灭,直到全部熄灭,若仍没人抢答则该轮抢答轮空,自动转到下一轮抢答环节。

3、抢答器具有锁存与显示功能,即选手按动按钮抢答后,锁存相应的组号,当主持人分数加减完毕后,将在3个数码管分别显示所抢答的组号以及该组目前的积分,如果属于违规抢答,则直接跳出抢答环节,直接扣分并显示相应组号和目前积分,进入下一轮抢答。

4、主持人在选手抢答后进入加减分环节,此时主持人可使用2个按键中的其中一个,一个按键用来加分,一个按键用来减分,主持人可选择加、减或者不操作,此环节一样为计时环节,倒计时时间为5秒,当5秒过后主持人未进行操作,则判定这次抢答为既不加分也不减分。加减分倒计时通过5个红色LED灯进行显示,当有人按下抢答后,该5个LED灯全亮,每过1秒,则熄灭一个,从左到右,直到全部熄灭。

本设计以FPGA 为基础设计的电子抢答器,根据设计功能要求,该设计主要包括抢答输入键盘,数码管显示,LED灯显示及FPGA最小系统。抢答器结构框图如下图所示:

图二、抢答器结构框图

其中FPGA 最小系统电路为FPGA正常工作时的基本电路,由时钟和复位电路组成。按键输入电路由8个按键组成。数码管显示电路由1个8段控制共阴BCD和2个经过译码

后的4位BCD组成。LED计时显示电路由8个红色LED灯组成。

3.2抢答器程序流程图和各模块软件代码分析

3.2.1抢答器程序结构及主程序流程图

软件设计主要采用verilog HDL语言进行设计,总体编程思路采用模块化编程方式,主要分为3个模块,一个主控制及按键输入模块,一个LED计时提示模块,一个抢答组号及积分显示模块,分别对这3个子模块进行独立编程设计,并生成元件,并在顶层使用电路连线的方式将3个模块连接起来完成整个设计。

主要程序运行方式采用状态机的方法来实现对抢答器的各个环节的控制。此次所设计的状态一共有4个状态。

第一个状态为等待主持人按键开始抢答,在此状态时会一直检测按键信号,当收到主持人的开始抢答信号进入下一个环节,如果有人抢答判定为违规抢答,而直接进入状态4进行积分和抢答组号显示。

第二个状态为等待4组抢答状态,在此状态时,LED计时显示模块将开始显示抢答计时,如果在规定时间内有人最先抢答则直接进入下一个状态,而如果无人抢答,计时时间到后也进入下一个状态,此状态下主持人按除复位键以外键无效,而按复位键则直接返回第一个状态,并将积分复位。

第三个状态为主持人加减分状态,在此状态时,LED计时显示模块重置并显示加减分的计时,如果在规定时间内主持人按下加分或减分则直接进入第四状态,而无操作计时时间到后也进入下一个状态,此状态下主持人按复位键则返回第一状态并将积分复位。其他按键输入无效。

第四个状态对前面状态中所获取的键值信号进行处理,更新并保存各组的积分信息,同时将抢答组号和积分发送给BCD显示模块进行显示,最后自动跳转回第一状态。

下图为主程序运行流程图:

图三、主程序流程图

3.2.2主控制及按键输入模块

此模块输入有时钟信号clk,8个按键输入信号[7:0]key_in,加减分计时计完信号score_end,抢答计时计完信号start_end;而输出有加减分开始计时信号sc ore_begin,抢答开始计时信号start_begin,抢答组积分输出信号[4:0]score_value,抢答组组号输出信号[3:0]score_num,复位信号clr。该模块生成图如下:

图四、主控制及按键输入模块框图

此模块主要实现按键输入和判定,以及状态机控制,并与计时模块进行双向通信,发送计时信号和接收计时完成信号,将抢答结果组号和积分信息发送给BCD显示模块进行显示,其中clr信号对其他模块进行同步复位。

此模块的按键输入采用了软件计数消抖的方法,就是在按键按下边沿信号发生后,计数单位开始计数如果计数完后按键状态仍处于按下状态,则判定有按键输入,否则不做操作。此次设计开发板上使用的时钟频率为20MHz,因此设置计数最大值为4000000则消抖时间大约在200ms左右,符合消抖延时所需要的时间。此次设计对每个按键均设置独立的消抖计数单位,如果哪个按键的计数最先完成,则判定该键最先按下,并进行相应操作,部分程序如下:

??if(cnt[0]<32'd4000000)

????cnt[0]=cnt[0]+1;

?????else//if(cnt[3]==22'd4000000)

????begin

????cnt[0]=32'd0;

??????key_value=8'b00100001;

??state=3'b011;

????end

按键输入的判断采用casez语句实现,只对按键的某一位进行判断,部分程序如下:???casez(key_in)

?8'b???????0:

??Begin

??……

3.2.3计时模块

此模块输入有时钟信号clk,抢答开始计时信号start_begin,加减分开始计时信号sco re_begin,复位信号clr,输出信号有抢答结束计时信号start_end,加减分结束计时信号score_end,以及8个LED灯显示控制led_8信号。该模块生成图如下:

图五、计时模块框图

此模块主要实现当主控模块发来抢答开始计时信号后开始抢答计时,计时时间为8秒,并用8个LED灯进行显示,每次计时时间减少1秒,则一个LED灯熄灭,从右到左,直到8个LED全部熄灭,计时结束返回抢答结束计时信号;当主控模块发来加减分开始计时信号后开始加减分计时,计时时间为5秒,并用5个LED灯进行显示,每次计时减少1秒,则一个L ED灯熄灭,从左到右来区别抢答计时的LED灯提示方式,直到5个LED全部熄灭,计时结束返回加减分结束计时信号。不管在计时过程中还是不计时状态,如果有收到主控模块发来的clr信号,则所有计时停止,重置所有状态。

计时所使用的方式仍然是通过计数实现,部分程序如下:

?if(cnt<32'd20000000)

?cnt=cnt+32'd1;

else

??begin

???cnt=32'd0;

?led_8=8'b11111111<<cnt_max1;

????cnt_max1=cnt_max1+1;

?end

3.2.4BCD显示模块

此模块输入信号有时钟信号clk,复位信号clr,抢答组积分信号[4:0]score_val

ue,抢答组组号[3:0] score_num;输出信号有组号显示控制信号[7:0] score_disp,积分个位显示控制信号[3:0]addr_l,积分十位显示控制信号[3:0]addr_h。此模块框图如下图:

图六、BCD显示模块框图

此模块实现从主控模块抢答组的信息并进行显示,使用一个BCD显示抢答组的组号,而抢答组积分有两位,所以进行相应处理,取得积分的个位和十位,并使用2个BCD显示其积分。当收到主控模块发来的clr信号后,3个BCD显示重置为未显示状态。

由于设计开发板上积分显示所使用的2个BCD有编码芯片,所以可以直接输出值进行显示,而组号显示所使用的BCD是直接8段控制,需要进行编码操作,其部分程序如下:case(score_num)

4'd1:

score_disp=8'b00000110;

4'd2:

score_disp=8'b01011011;

4'd3:

score_disp=8'b01001111;

4'd4:

score_disp=8'b01100110;

default:

;

endcase

3.3顶层模块连线及开发板硬件配置

此次设计顶层模块不是通过程序例化的方式添加子模块,而是使用电路图连线的方式,将每个模块编译成功后生成的器件图导入到顶层模块中,并使用连线直接将各模块直接连

接,并设置整个顶层总模块的输入和输出,其具体连线图如下:

图七、顶层模块连线图

此次设计中进行实物验证的FPGA开发板所使用芯片型号为CycloneII系列中E P2C5T144,此次设计中主要用到了开发板上的8个按键,8个LED灯以及3个BCD数码管,相应硬件引脚对应名称如下表:

LEDC-c P52 组号BCD数码管c段

LEDC-d P51组号BCD数码管d段

LEDC-e P48 组号BCD数码管e段

LEDC-fP47 组号BCD数码管f段

LEDC-g P45 组号BCD数码管g段

LEDC-p P44 组号BCD数码管p段

此次设计中clk信号未外接时钟,而直接由开发板上的20M晶振提供。按键部分电路在未按下状态为高电平,按下后为低电平,接法如下图:

图八、按键部分电路

8个LED灯直接由高电平驱动,当FPGA的IO输出高电平时,LED被点亮,输出低电平则LED熄灭。LEDA和LEDB两个8段数码管里面有编码芯片,因此输出4位数可直接显示,无需进行编码,例如输出1则直接显示“1”,方便操作。而LEDC数码管为共阴数码管,它的每一段使用FPGA的一个IO驱动,因此输出的8位数为编码后的数。LEDC8段数码管的每段所在位置及内部电路如图:

图九、共阴数码管段位置分布图

图十、共阴数码管内部结构图

由于此开发板上有JTAG接口,而没有AS接口,因此开发板程序下载方式只有JTAG 下载方式。而JTAG下载方式又有两种,一种掉电后程序就擦除,另一种将程序保存在外部EEPROM里,进行引导FPGA配置,掉电后程序不会消失。在程序调试时候可以使用掉电式下载,方便查找程序的漏洞,此时下载进FPGA的文件为.sof格式的文件,而如果想将程序固化到开发板上的EPC存储器件中,需要将.sof转换成.jic文件进行下载,此时需要选择相应的EPC型号,进行下载。此次在固化程序时候发现,开发板上的EPC型号为EPCS1,程序的大小超过了板子上的EPC容量,因此此次设计不能将程序固化到开发板上,只能掉电式调试。

3.4modelsim仿真

此次设计中clk为20MHz,因此时间周期为50ns,而计时模块以及按键去抖程序的时间都大于200ms,因此仿真时会造成时间差太大,而造成仿真时间太长而不能运行。此时仿真可将计时模块以及按键去抖的计数值从原先设计的好的值调整到一个较小的数来让仿真可以运行,用于查看波形是否正确,实际应用时再还原原先设定的计数值。此次仿真将计数值设定为10,整个仿真运行时间为1ms,并且按键输入只设定抢答1的脉冲为1,而其他按键脉冲值为0,则此时抢答器处于违规抢答状态,每一次抢答环节将扣除抢答1组积分1分,直到积分扣到0为止。因此仿真波形如下图:

图十一、仿真波形图(一)

图十二、仿真波形图(二)

由图可以看出addr_l每过10个clk就会减一,从1001减到0000为止,表示第一次违规抢答后,原本积分10减一后为9,因此积分的十位一直为0,因此addr_h的4位一

直为0,而个位则一直减一,与addr_l的4位波形相符合。而score_disp8位的波形为01001111,根据8段数码管的位置可判断显示的数字为3,而按键输入所设定的只有key_i n[2]为低电平,即一直是第3组在抢答,因此与波形相符合。

4结论

以FPGA为控制核心设计的抢答器,开发周期短,功能升级方便,整个控制系统所需元件少,集成度较高,具有良好的稳定性和可靠性。经过仿真以及下载到FPGA开发板上测试,此次设计可以公正、准确、直观的判断第一抢答者,并对抢答进行计时,加减操作的计时,并通过LED显示并提示时间,以及复位抢答等功能,同时3个BCD数码管可以正确的显示第一抢答者的组号以及积分信息。同时通过测试验证了软件的按键消抖处理适当,不会产生按键误判断的情况,以及状态机的程序设计方式结构清晰,易于查找程序的错误和进行改进。

四路抢答器课程设计报告

四 路 抢 答 器 设 计 实 验 报 告 信息科学技术学院自动化*班 ****

四路抢答器设计实验报告 一、设计任务: 1、巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能 力。 2、养成根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力。 3、通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、 调试和检测等环节,初步掌握简单实用电路的分析方法和工程设计方法。 4、学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行 数字电子电路实验的基本技能。 二、技术指标 抢答器是一种具有优先输出的电子电路。它的基本功能是,在四组参赛的情况下,首先抢答者发出抢答信号,此时其他参赛组的抢答电路即失去控制作用。在优先抢答者解除抢答信号后,电路才自动恢复到各组又可均等抢答的状态中。 1、设计一个可供4人进行的抢答器。 2、系统设置复位按钮,按动后,重新开始抢答。

3、抢答器开始时数码管无显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。抢答后显示优先抢答者序号,同时发出音响。并且不出现其他抢答者的序号,这样其它选手无法再抢答,达到抢答目的。 4、抢答器具有定时抢答功能,本抢答器的时间设定为10秒,当主持人启动“开始”开关后,定时器开始减计。 5、设定的抢答时间,选手可以抢答,这时定时器开始工作,显示器上显示选手 的和抢答时间。并保持到主持人按复位键。 6、当设定的时间一到,而无人抢答时,本题报废,选手们无法再抢答,同时扬 声器报警发出声音,定时器上显示0。 三、元件清单:

4路抢答器数字电路课程设计

课题名称:数显抢答器的设计

数字电子课程设计任务书

目录 1绪论 1.1 摘要 (4) 1.1 设计题目:抢答器电路设计 (4) 1.2 设计任务和要求 (4) 1.3 方案比较 (4) 2系统总体方案及硬件设计 (5) 2.1 系统总体方案 (5) 2.2 硬件设计 (6) 3软件设计 (12) 3.1 单元电路设计 (12) 3.1.1 抢答电路 (12) 3.1.2 定时电路 (14) 3.1.3 报警电路 (15) 3.1.4 时序控制电路 (15) 4课程设计体会 (17) 5参考文献 (18)

摘要 随着我国经济和文化事业的发展,在很多竞争场合要求有快速公正的竞争裁决,例如证券、股票交易及各种智力竞赛等。在现代社会生活中,智力竞赛更是作为一种生动活泼的教育形式和方法能够引起观众极大的兴趣。而在竞赛中往往分为几组参加,这时针对主持人提出的问题,各组一般要进行必答和抢答,对必答一般有时间限制,到时有声响提示;对于抢答,要判定哪组先按键,为了公正,这就要有一种逻辑电路抢答器作为裁判员。一般抢答器由很多门电路组成,线路复杂,可靠性低,特别是抢答路数增多时,实现起来更加困难。本文介绍了一种利用数字电路实现的抢答系统,具有很强的实用性。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并数码管上显示选手的编号,同时扬声器给出声音提示;同时封锁输入电路,禁止其它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。抢答器具有定时抢答的功能,且一次抢答的时间为3秒。当主持人启动“开始”键后,要求定时器立即进行减计时,并用显示器显示通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止;如果定时抢答的时间已到,而没有选手抢答时,本次抢答无效,并封锁输入电路,禁止选手超时后抢答,定时显示器上显示0并闪烁。经过布线、焊接、调试等工作后数字抢答器成形。

plc控制四路抢答器课程设计

plc控制四路抢答器课程设计 市场上有许许多多种抢答器,但功能却各不相同,电路也形形色色,而所选元件也各不相同。笔者设计了一款用plc 控制的抢答器,该抢答器集抢答、声音警示、灯光指示和计时于一身,借助较少的外围元件完成抢答的整个过程,选用的是(OMRON)生产的C20p型PLC设计制作了四路抢答器,该设计编程简单,容易理解掌握,且工作稳定可靠。总体电路简单,易于制作。 1、系统工作原理 1.1控制要求 (1)竞赛者若要回答主持人所提问题时,须抢先按下桌上的抢答按钮; (2)绿色指示灯亮后,须等主持人按下复位按钮PB5后,指示灯才熄灭; (3)如果竞赛者在主持人打开SW1开关10 s内抢先按下按钮,电磁线圈将使彩球摇动,以示竞赛者得到一次幸运的机会; (4)如果在主持人打开SW1开关10 s内无人抢答,则必须有声音警示,同时红色指示灯亮,以示竞赛者放弃该题; (5)在竞赛者抢答成功后,应限定一定的时间回答问题,根据题目难易可设定时间(如2 min);

(6)当主持人打开SW2开关后记时开始,如果竞赛者在回答问题时超出设定时限,则红色指示灯亮并伴有声音提示,竞赛者停止回答问题。 1.2选定输入、输出设备 输入设备输入端子号 抢答按钮PB110000 抢答按钮PB12 0001 抢答按钮PB21 0002抢答按钮PB22 0003 抢答按钮PB31 0004 抢答按钮PB32 0005 抢答按钮PB41 0006 抢答按钮PB42 0007 复位按钮PB5 0008 选择开关SW1 0009 限时开关SW2 0010 输出设备输出端子号 绿色指示灯L1输出0500 绿色指示灯L2输出0501 绿色指示灯L3输出0502 绿色指示灯L4输出0503 红色指示灯L5输出0504 红色指示灯L6输出0505

基于stm32的四路抢答器课程设计说明书大学论文

课程设计说明书题目:基于STM32的四路抢答器 学院: 年级专业: 学号: 学生姓名: 指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。在我们各种竞赛中我们也经常能看到有抢答的环节,某些举办方采用让选手通过举答题板的方法判断选手的答题权,这在某种程度上会因为主持人的主观误断造成比赛的不公平性,而抢答器的应用就能避免这种弊端。今天随着科技的不断进步抢答器的制作也更加追求精益求精,人们摆脱了耗费很多元件仅来实现用指示灯和一些电路来实现简单的抢答功能,使第一个抢答的参赛者的编号能通过指示灯显示出来,避免不合理的现象发生。但这种电路不易于扩展,而且当有更高要求时就无法实现,例如参赛人数的增加。随着数字电路的发展,数字抢答器诞生了,它易于扩展,可靠性好,集成度高,而且费用低,功能更加多样,是一种高效能的产品。而如今在市场上销售的抢答器大多采用可编程逻辑元器件,或利用单片机技术进行设计。 本文实现了一个基于嵌入式STM32单片机的4路抢答器系统设计,本系统设计主要分为硬件设备和软件控制两大部分。外部硬件使用STM32单片机作为控制中心,用4个按键作为抢答输入,抢答开始后,抢答成功者的LED灯标识为红色闪烁,并且显示各抢答输入的时间。 关键词:智能抢答器,STM32,按键输入,数码管显示

目录 摘要 (2) 目录 (3) 第1章绪论 (5) 1.1课题研究的相关背景 (5) 1.2选题的目的和意义 (5) 1.3课题研究的内容 (5) 1.4国内外研究现状 (6) 1.5抢答器目前存在的主要问题 (6) 第2章抢答器的系统概述 (7) 2.1系统的主要功能 (7) 2.2抢答器的工作流程 (7) 2.3STM32的功能及简介 (7) 2.4抢答器的优点及组成 (10) 2.5本章小结 (11) 第3章系统的硬件设计与开发 (11) 3.1系统硬件总体设计 (11) 3.2STM32最小系统 (12) 3.4时钟频率电路的设计 (14) 3.5复位电路的设计 (15) 3.6数码管显示 (16) 3.7键盘电路的设计 (16) 3.8LED电路 (18) 3.9本章小结 (18) 第4章系统的软件设计与开发 (19) 4.4主要程序分析 (20) 4.5本章小结 (29) 第5章总结与展望 (30) 5.1总结 (30)

基于PLC四路抢答器的设计

四路抢答器的设计 (完整规范) 专业名称:应用电子技术学生姓名: 导师姓名:### 职称 机电工程学院

2012年月 设计题目: 基于PLC四路抢答器的设计 设计要求: 1. 抢答器可同时供四组选手参加比赛 2. 主持人有三个控制按钮,用来控制抢答开始、复位和答题计时的开始。 3.每当主持人发出开始抢答指令后,那组选手最先按下抢答按钮,则数码管1就显示该组的编号,同时绿色指示灯亮,音响电路给出信箱提示信号(持续3S),以指示抢答成功,并对其后的抢答信号不再响应。选手答题完毕后,由主持人按下复位按钮,系统才能开始下一轮抢答。 4.违规抢答:若选手在未开时抢答试题时抢答了,则视为违规,违规时数码管1显示其编号,同时红灯亮,音响电路发出声响。 5.抢答限时:当主持人按下开始按钮后,定时器T0开始计时(设定30S)。若30S时限到仍无人抢答,则黄灯亮、音响电路3发出声响,以示选手放弃该题。 6.答题限时:在抢答成功后,主持人按下答题计时开始按钮,同时数码管2、3上显示答题倒计时时间(该时间设定为50S),选手必须在设定的时间内完成答题。否则,音响电路发出答题超时报警信号 设计进度要求: 第一、二周:确定题目,查阅资料,根据要求分析抢答器的设计、工作原理。 第三、四周:根据工作原理画流程图并编译梯形图,并进行硬件设计。 第五、六周:对软件设计,进行上机调试,找出问题,进行修改,并改进设计。 第七、八周:撰写论文,毕业答辩。 指导教师(签名)

摘要 近年来随着科技的飞速发展, PLC的应用不断地走向深入,同时带动传统的控制检测技术的不断更新,可编程控制器由于其优良的控制性能,极高的可靠性,在各行各业中的应用日益广泛普及。对于抢答器其广泛用于电视台、商业机构、企事业工会组织、俱乐部及学校等单位组织举办各种知识、技术竞赛及文娱活动时作抢答之用,为竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活,并且给人的视觉效果非常好,是各单位开展素质教育、精神文明、娱乐活动的必备产品。 本次设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先,选择这个题目之后,我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路,然后仔细分析PLC控制的四路智力抢答器的工作原理,以及它的一些工作过程,分析后得出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要25个,输入端口需要7个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点,因此,我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活,维护使用方便等特点。 关键词:智力控制,四路抢答器, PLC

四路竞赛抢答器设计

四路竞赛抢答器设计与仿真。 一、设计题目:四路竞赛抢答设计与仿真 二、设计要求 设计并仿真能容纳四组参赛队参赛的声光显示抢答器,要求: 1)主持人按下复位后,允许开始抢答, 2)有人抢答成功,即发出光、声报警信号,并封锁其他参赛队抢答信号的输入 主要器件 1)74LS175、74LS04; 2)发光二极管、蜂鸣器、三极管等。

三、题目分析 为达到设计要求可以有两种方案可以选择 方案1:采用CD4511芯片作为抢答信号的触发、锁存和译码输出。这样虽然比较简便,但实际在实现锁存功能时比较繁琐难实现。 方案2:采用集成4D触发器来完成抢答部分。虽然元件较多,但在实现锁存功能时可以简单的实现。 经过对比两方案的优缺点,决定采用抢答信号锁存简单实现的方案2。然后利用软件Multisim来进行仿真调试,再进行逐步改进。智力竞赛是在竞赛中分成几组参加,我设计的为四组,这时针对主持人提出的问题,各组一般进行抢答,对于抢答,需要一种逻辑电路抢答器作为裁判员。先由主持人控制主电路,各组再进行抢答,优先抢答者抢到并回答问题解除抢答信号后,电路才恢复下一次抢答。 以下是我设计的智力竞赛抢答器的主要设计思路:主持人控制开关接地与四组开关接5V 电压,我集成4D触发器74LS175,起到优先抢答的作用。利用当其中一组抢答即对应的开关关闭,对应的锁存输入端为高电平,对应的输出端也为高电平,经过与门,实现锁存功能。这样,当一组抢答时其它组就被屏蔽了。举个例子来说,若一组得到抢答权,则由于接上电压该组输出高电压,又由于锁存器的锁存原因,其它二组,三组,四组输出的为低电平,与一组相连的发光二极管会发光,同时通过或非门与报警电路相连,使之发出连续响声。因为由两个或门与译码器连接,译码器是输入高电平有效,而第一组输出高电平时,对应的LED 亮,说明改组拥有回答问题的权利。当主持人的控制开关复位时,各组的抢答者就开始抢答,当主持人的控制开关清零复位时,开始下一轮抢答。 以上就是我设计的竞赛抢答器的设计思路。

四路抢答器的PLC控制

摘要 抢答器广泛应用在学习生活及电视节目中。目前,市面上抢答器的控制核心部件主要有四种类型:数字电路、接触器、单片机和可编程逻辑控制器(PLC)。PLC具有结构简单、编程容易、改变控制要求只需要相应地改变程序等优点。目前,很多的抢答器设计仅仅基于抢答的控制,本研究利用基本指令编程设计,不仅实现了抢答的控制,而且还可在各台LED显示器上显示出相应的台号。 关键词:可编程控制器抢答器 PLC

目录 第一章设计选题及目的 (3) 1.1 设计选题 (3) 1.2 系统设计思想............................... 错误!未定义书签。第二章抢答器的系统设计.......................... 错误!未定义书签。 2.1 硬件选择..................................... 错误!未定义书签。 2.2抢答器控制系统I/O分配地址.................... 错误!未定义书签。 2.3抢答器PLC控制系统外部接线图.................. 错误!未定义书签。 2.4抢答器系统控制流程图.......................... 错误!未定义书签。 2.5控制系统的程序设计............................ 错误!未定义书签。参考文献.. (5) 致谢 (6)

第一章设计选题及目的 1.1 设计选题 设计选题:四路抢答器的PLC控制电路的设计。 控制要求:1.要求:(1)竞赛抢答器能使4个队同时参加抢答。(2)设裁判队为裁判台,参赛队为参赛台。裁判台设有音响和裁判台灯,并设有裁判台开始按钮SB0和裁判台复位按钮SB5;参赛台设有参赛台按钮及参赛台灯,1-4号参赛台分别对应按钮SB1-SB4及参赛台灯EL1-EL4。(3)只是竞赛抢答器能适合以下比赛规则:出题后,各队抢答必须在裁判员说出“开始”并按下裁判台开始按钮SB0后15S抢答,并由数码管显示时间。如提前抢答,抢答器将发出“违规”信号。15S时间到,如无队抢答,则抢答器给出时间已到信号,该题作废。在有队抢答的情况下,则抢答器发出“抢答”信号,数码管开始计时显示,并由数码管显示出抢到题的参赛队号。抢到题的队必须在30S答完题,如30S未答完,则作超时处理。赛场还设有时间数码显示器和显示抢到答题队号的数码显示器。 (4)灯光与音响信号的意义: 音响叫(响1S)+某台灯亮,由某参赛队正常抢答。 音响叫(响1S)+某台灯亮+总台灯亮,某参赛队违规。 音响叫(响1S)+裁判台灯亮,无人抢答或答题超时。 (5)在某个题目结束后,裁判员按下裁判台上的复位按钮SB5,抢答器恢复原来的状态,为下一轮抢答作好准备。抢答器示意图如图1所示。 图1 抢答器示意图 1.2系统设计思想

四人抢答器plc课程设计

课程设计说明书 题目名称:四组抢答器plc课程设计 系部:机械工程系 专业班级:机械化13-1班 学生姓名: 学号:2013233 指导教师:全瑞琴 完成日期:2017年1月8号

新疆工程学院 课程设计评定意见 设计题目四组抢答器plc课程设计 系部机械工程系专业班级机械化13-1班学生姓名学生学号2013233 评定意见: 评定成绩: 指导教师(签名):年月日

(此页背书) 评定意见参考提纲: 1、学生完成的工作量与内容是否符合任务书的要求。 2、学生的勤勉态度。 3、设计或说明书的优缺点,包括:学生对理论知识的掌握程度、实践工作能力、表现出的创造性和综合应用能力等。

新疆工程学院 机械工程系系(部)课程设计任务书 2015-2016 学年第一学期2016 年 1 月10日 教研室主任(签名)系(部)主任(签名)

摘要 随着微处理器、计算机和数字通讯技术的飞速发展,计算机控制已扩展到了所有控制领域。而实用抢答器的这一产品是各种竞赛活动中不可缺少的设备,无论是学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有的各种各样的智力竞赛抢答器绝大多数是早期设计的,本设计要求就是利用PLC作为核心部件进行逻辑控制及信号的产生,用PLC本身的优势使竞赛真正达到公正、公平、公开。设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先选择这个题目之后我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路然后仔细分析PLC控制的四路智力抢答器的工作原理以及它的一些工作过程分析后得 出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要9个,输入端口需要6个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点因此我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活维护使用方便等特点。 关键词: 可编程控制器抢答器 PLC 模拟电路数字电路

基于PLC四路抢答器的设计毕业设计

毕业设计设计任务书 设计题目: 基于PLC四路抢答器的设计 设计要求: 1. 抢答器可同时供四组选手参加比赛 2. 主持人有三个控制按钮,用来控制抢答开始、复位和答题计时的开始。 3.每当主持人发出开始抢答指令后,那组选手最先按下抢答按钮,则数码管1就显示该组的编号,同时绿色指示灯亮,音响电路给出信箱提示信号(持续3S),以指示抢答成功,并对其后的抢答信号不再响应。选手答题完毕后,由主持人按下复位按钮,系统才能开始下一轮抢答。 4.违规抢答:若选手在未开时抢答试题时抢答了,则视为违规,违规时数码管1显示其编号,同时红灯亮,音响电路发出声响。 5.抢答限时:当主持人按下开始按钮后,定时器T0开始计时(设定30S)。若30S时限到仍无人抢答,则黄灯亮、音响电路3发出声响,以示选手放弃该题。 6.答题限时:在抢答成功后,主持人按下答题计时开始按钮,同时数码管2、3上显示答题倒计时时间(该时间设定为50S),选手必须在设定的时间内完成答题。否则,音响电路发出答题超时报警信号 设计进度要求: 第一、二周:确定题目,查阅资料,根据要求分析抢答器的设计、工作原理。 第三、四周:根据工作原理画流程图并编译梯形图,并进行硬件设计。 第五、六周:对软件设计,进行上机调试,找出问题,进行修改,并改进设计。 第七、八周:撰写论文,毕业答辩。 指导教师(签名)

摘要 近年来随着科技的飞速发展, PLC的应用不断地走向深入,同时带动传统的控制检测技术的不断更新,可编程控制器由于其优良的控制性能,极高的可靠性,在各行各业中的应用日益广泛普及。对于抢答器其广泛用于电视台、商业机构、企事业工会组织、俱乐部及学校等单位组织举办各种知识、技术竞赛及文娱活动时作抢答之用,为竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活,并且给人的视觉效果非常好,是各单位开展素质教育、精神文明、娱乐活动的必备产品。 本次设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先,选择这个题目之后,我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路,然后仔细分析PLC控制的四路智力抢答器的工作原理,以及它的一些工作过程,分析后得出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要25个,输入端口需要7个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点,因此,我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活,维护使用方便等特点。 关键词:智力控制,四路抢答器, PLC

课程设计三路抢答器

三人抢答计时器 一、摘要 智力竞赛抢答计时器是一名公正的裁判员,它的任务是从若干名参赛者中确定出最先的抢答者,并要求参赛者在规定的时间里回答完问题。 二、设计要求 1.设计一个三人参加的智力竞赛抢答计时器。 2.当有某一参赛者首先按下抢答开关时,相应显示灯亮并伴有声响。此时,抢答器不再接收其他输入的信号。 3.电路具有回答问题时间控制功能。要求回答问题的时间小于等于100秒(显示0~99),时间显示采用倒计时方式。当达到限定时间时,发出声响以示警告。 三、给定条件及元器件 1.要求电路主要选用中规模CMOS集成电路CC 4000 系列。 2.电源电压为5 ~ 10 V 。 3.本设计要求在数字电路实验箱上完成。 四、设计内容 1.电路各部分的组成和工作原理。 2.元件器的选取及其电路图和功能。 3.电路各部分的调试方法。 4.在整机电路的设计调试过程中,遇到什么问,其原因及解决的办法。 五、电路组成和工作原理

图(一) 根据上面所说的功能要求,智力竞赛抢答计时系统的组成框如图(一)所示。 它主要由六部分组成; 1、抢答器——是三人抢答计时器的核心。当参赛者的任意一位首先按下抢答开关时,抢答器即刻接受该信号,指使相应发光二级管亮(或音响电路发出声音),与此同时,封锁住其他参赛者的输入信号。 2、抢答控制电路——由三个开关组成。三名参赛者各控制一个,拨动开关使相应控制端的信号为高电平或低电平。 3、清零装置——供比赛开始前裁判员使用。它能保证比赛前触发器统一清零,避免电路的误动作和抢答过程的不公平。 4、显示声响电路——比赛开始,当某一参赛者按下抢答器开关时,触发器接受该信号,在封锁其他开关信号的同时,使该路的发光二极管发出亮光和蜂鸣器发出声响,以引起人们的注意。 5、计时显示声响电路——是对抢答者回答问题时间进行控制的电路。若规定回答问题时间小于等于100秒(显示为0—99),那么显示装置应该是一个二位数字显示的计数系统。 6、振荡电路——它应该提供给抢答器,计时系统和声响电路工作的控制脉冲。

单片机四路抢答器课程设计

课程设计(论文) 题目名称简易四路抢答器设计 课程名称单片机原理及应用 学生姓名瞿永 学号0841229144 系、专业电气工程系测控类 指导教师杨波 2010年7 月1 日

邵阳学院课程设计(论文)评阅表 学生姓名瞿永学号0841229144 系别电气工程系专业班级08电本二班题目名称简易四路抢答器课程名称单片机原理及应用 二、指导教师评定

目录 摘要 (4) 一,设计任务与要求 (4) 二,方案设计与论证 (4) 三,硬件电路设计 (5) 四,软件设计 (8) 五,器件选型方案 (21) 六,调试: (22) 七,结论与心得 (22) 八,参考文献 (23)

单片机四路抢答器设计 摘要 抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。但抢答器的使用频率较低,且有的要么制作复杂,要么可靠性低。作为一个单位,如果专门购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使(电子器件的)抢答器损坏,再购置的麻烦和及时性就会影响活动的开展,因此设计了本抢答器。 本设计是以四路抢答为基本理念。考虑到依需设定限时回答的功能,利用AT49C51单片机及外围接口实现的抢答系统,利用单片机的定时器/计数器定时和记数的原理,将软、硬件有机地结合起来,使得系统能够正确地进行计时,同时使数码管能够正确地显示时间。用开关做键盘输出,扬声器发生提示。同时系统能够实现:在抢答中,只有开始后抢答才有效,如果在开始抢答前抢答为无效;抢答限定时间和回答问题的时间可在1-99s设定;可以显示是哪位选手有效抢答和无效抢答,正确按键后有音乐提示;抢答时间和回答问题时间倒记时显示,满时后系统计时自动复位及主控强制复位;按键锁定,在有效状态下,按键无效非法。 一,设计任务与要求 1、抢答器同时供4名选手或4个代表队比赛,分别用4个按钮S0 ~ S3表示。 2、设置一个系统清除和抢答控制开关S,该开关由主持人控制。 3、抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 4、参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号并保持到主持人将系统清除为止。 二,方案设计与论证

四路抢答器的plc控制线路的设计

机电传动控制课程设计 设计题目:四路抢答器PLC控制电路的设计 学校:梧州学院 专业:机械设计制造及其自动化 班级: 08机械2班 姓名: 学号: 指导老师: 年月日

摘要 随着微处理器、计算机和数字通信技术的飞速发展,计算机控制已扩展到所有的控制领域。现代社会要求制造业对市场需求迅速的反应,生产出小批量、多品种、多规格、低成本和高质量的产品。为了满足这一需求,生产设备的控制系统必须具有极高的灵活性和可靠性,可编程控制器就顺应而生。 而实用抢答器的这一产品是各种竞赛活动中不可缺少的设备,无论是学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有的各种各样的智力竞赛抢答器绝大多数是早期设计的,只具有抢答锁定功能的一个电路,以模拟电路、数字电路或者模拟电路与数字电路相结合的产品,这部分抢答器已相当成熟。现在的抢答器具有倒计时、定时、自动(或手动)复位、报警(即声响提示,有的以音乐的方式来体现)、屏幕显示、按键发光等多种功能。但功能越多的电路相对来说就越复杂,且成本偏高,故障高,显示方式简单(有的甚至没有显示电路),无法判断提前抢按按钮的行为,不便于电路升级换代。本设计要求就是利用PLC作为核心部件进行逻辑控制及信号的产生,用PLC本身的优势使竞赛真正达到公正、公平、公开。 关键词:可编程控制器抢答器 PLC 模拟电路数字电路

目录 第一章设计选题及目的 (1) 1.1 设计选题 (1) 1.2 设计目的 (1) 第二章功能介绍 (2) 2.1 主要功能介绍 (2) 2.2 扩展功能介绍 (2) 第三章总体方案设计模块 (3) 第四章 PLC抢答器编程 (4) 4.1 选定输入、输出设备 (4) 4.3 工作过程 (5) 4.4 程序指令表 (6) 4.5 外部接线图 (7) 第五章单元模块设计 (8) 5.1 抢答器电路模块 (8) 5.2 定时电路模块 (11) 5.3 控制电路 (15) 第六章组装和调试 (18) 参考文献 (19) 致谢 (20)

四人智力抢答器课程设计报告

四人智力抢答器课程设计 报告 Prepared on 22 November 2020

数字电子技术课程设计报告 设计课题: 四人智力竞赛抢答器 学院: 专业: 电子信息工程 班级: 2010级电信(1)班 姓名: 学号: 日期 2012年 12月9日——2012年12月23日指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。 通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。 经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。 关键词:四人智力竞赛抢答器、74LS175、脉冲、锁存器 目录 1 设计任务及要求 (1) 2 比较和选定设计的系统方案、画出系统框图 (1) 方案比较 (1) 系统框图 (3)

3单元电路设计、参数计算和器件选择 (3) 抢答电路设 (3) 定时电路设计 (6) 报警电路设计 (9) 4完整的电路图及电路的工作原理 (10) 完整电路图 (10) 工作原理..............................................................................11 5经验体会. (12) 参考文献 (12) 附录A:系统电路原理图 (13) 附录B:元器件清单 (14)

四路智力竞赛抢答器设计

吉林建筑大学 电气与电子信息工程学院 数字电子技术课程设计报告 设计题目:四路竞赛抢答电路 专业班级:信工131班 学生姓名: 学号: 指导老师: 设计时间: 教师评语: 成绩:评阅老师日期

前言 关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧张、活跃气氛,让观众看得更有情趣。可见抢答器在现实生活中确实很实用,运用前景非抢答器的设计与制作智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极大兴趣,并且能在极短时间内,使人们增加一些科学知识和生活常识。常广泛。 在知识竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要有一个系统来完成这个任务。如果在抢答中,只靠人的视觉是很难判断出哪组先答题。这次设计就是用几个触发器以及三极管巧妙的设计抢答器,使以上问题得以解决,即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题。本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。

目录 前言 (4) 一 . 课程设计目的 (5) 二 . 课程设计题目与内容 (5) 三 . 系统设计方案 (7) 四 . 电路工作原理 (8) 五 . 单元电路设计参数计算及元器件选择 (11) 六 . 完整电路图 (16) 七 .需要的元器件清单: (17) 八 . 总结与体会 (18) 九 . 参考文献 (20)

一、课程设计目的: 数字电子技术课程设计是数字电子技术课程的实践性教学环节,是对学生学习数字电子技术的综合性训练,这种训练是通过学生独立进行某一课题的设计、安装和调试来完成的,训练学生综合运用学过的数字电子技术的基本知识,独立设计比较复杂的数字电路的能力。 通过数字电路课程设计使学生做到: 1、综合运用电子设计课程中所学到的理论知识,独立完成一个设计课题。 2、通过查阅手册和文献资料,培养学生独立分析和解决实际问题的能力。 3、了解常用电子器件的类型和特性,并掌握合理选用的原则。 4、学会电子电路的安装与调试技能,掌握电子电路的测试方法。掌握常用电子仪器的使用方法。 5、学会撰写课程设计总结报告。

四路抢答器的plc控制线路的设计

精品文档 目录 第一章设计选题及目的 (1) 1.1 设计选题 (1) 1.2 设计目的 (1) 第二章功能介绍 (2) 2.1 主要功能介绍 (2) 2.2 扩展功能介绍 (2) 第三章总体方案设计模块 (3) 第四章 PLC抢答器编程 (4) 4.1 选定输入、输出设备 (4) 4.2 控制梯形图 (5) 4.3 工作过程 (6) 4.4 程序指令表 (6) 4.5 外部接线图.................................. 错误!未定义书签。第五章单元模块设计.. (8) 5.1 抢答器电路模块 (8) 5.2 定时电路模块 (12) 5.3 控制电路 (15) 第六章组装和调试 (18) 参考文献 (18) 致谢 (18) 。

第一章设计选题及目的 1.1 设计选题 设计选题:四路抢答器的PLC控制电路的设计。 控制要求: (1)抢答器同时供4名选手或4个代表队比赛,分别用4个按钮S1~S4表示。 (2)设置一个系统复位和抢答开始控制开关S0和S5,该开关由主持人控制,控制显示结果为S0对应红色指示灯状态,S5对应绿色指示灯状态;设置一个“回答开始”按钮s6,由主持人控制抢答时间,显示方式是黄色指示灯。 (3)抢答开始控制开关S5按下时方可抢答,竞赛者(4人)若要回答主持人所提问题时,须抢先按下桌上的抢答按钮,对应组的指示灯亮; (4)优先抢答选手对应的指示灯须等主持人按下复位按钮S0后,指示灯才熄灭; (5)如果竞赛者在主持人打开S5开关10秒内抢先按下按钮,竞赛者可得到一次幸运回答的机会;如果在主持人打开S5开关10秒内无人抢答,则必须有警示,此时红色指示灯亮,以示竞赛者放弃该题,系统复位; (6)在竞赛者抢答成功后,应限定一定的时间回答问题,根据题目难易可设定时间(如1分钟);此时由主持人控制时间,按下”回答开始”按钮,计时1分钟,计时结束时黄色指示灯灭,回答结束。 1.2 设计目的 通过这次课程设计,灵活运用plc理论知识,在实践中实现巩固所学知识,加强综合能力,培养电路设计能力,提高实验技术,启发创新思想。

毕业设计:基于PLC四路抢答器的设计

渤海船舶职业学院 毕业设计(论文)题目:基于PLC四路抢答器的设计 系:机电工程系专业:机电一体化技术姓名:李红远指导教师:刘凯 班级:08G451 评阅教师:刘凯 学号:24 完成日期:2011.5.22

毕业设计说明书(论文)中文摘要 题目:基于PLC四路抢答器的设计 摘要:近年来随着科技的飞速发展, PLC的应用不断地走向深入,同时带动传统的控制检测技术的不断更新,可编程控制器由于其优良的控制性能,极高的可靠性,在各行各业中的应用日益广泛普及。对于抢答器其广泛用于电视台、商业机构、企事业工会组织、俱乐部及学校等单位组织举办各种知识、技术竞赛及文娱活动时作抢答之用,为竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活,并且给人的视觉效果非常好,是各单位开展素质教育、精神文明、娱乐活动的必备产品。 本次设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先,选择这个题目之后,我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路,然后仔细分析PLC控制的四路智力抢答器的工作原理,以及它的一些工作过程,分析后得出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要25个,输入端口需要7个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点,因此,我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活,维护使用方便等特点。 关键词:智力控制,四路抢答器,PLC.

四路-抢答器课程设计

… 课题题目:数字式4组竞赛抢答器的设计与制作 一、课程设计目的 本次课程设计主要是《数字电子技术》理论课程而设置的一门实践性课程,起到巩固所学知识,加强综合能力,培养电路设计能力,提高实验技术,启发创新思想的效果。 二、初始条件: 本课程设计,要求用集成电路:74LSl48,74LS192,74LS175,74LS160,74LS00,74LS04,74LS20,74LS48,74LS32,555定时器和其它器件等,实现四路定时抢答功能。 三、要求完成的主要任务: 1、可同时供4名选手(或代表队)参赛,其编号分别是1到4,各用一个抢答按钮,按钮的编号与选手的编号相对应。给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 2、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并数码管上显示选手的编号,同时扬声器给出声音提示;同时封锁输入电路,禁止其它选手抢答。 @ 3、抢答器具有定时抢答的功能,且一次抢答的时间为10秒。当主持人启动“开始”键后,要求定时器立即进行加计时,并用显示器显示。 4、参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作, 显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止;如果定时抢答的时间已到,而没有选手抢答时,并封锁输入电路,禁止选手超时后抢答,定时显示器上显示0。

目录 1 功能介绍 (3) 主要功能介绍 (3) 扩展功能介绍 (3) 2总体方案设计.................................................. (3) ; 3单元模块设计.................................................. (4) 抢答器控制端电路功能介绍 (4) 定时时间电路 (5) 控制电路和报警电路 (5) 振荡电路 (6) 4主要芯片介绍 (7) 优先编码器 74LS148 (7) 计数器74LS192 (8) 5四人抢答器仿真.............................................. (9) ; 6系统调试...................................................... (9) 7参考文献 (11) 1功能介绍 主要功能介绍 (1)抢答器最多可供4名选手参赛,编号为1~4号,各队分别用一个按钮(分别为J1、J2、J3、J5)控制,并设置一个系统清零和抢答控制开关J4,J7,该开关由主持人控制。 (2)抢答器具有数据锁存功能,并将锁存数据用LED数码管显示出来,直到主持人清零。 (3)开关J4作为清零及抢答控制开关(由主持人控制),当开关J4被按下时抢答电路清零,当开关J7松开后则允许抢答。输入抢答信号由抢答按钮开关J1、J2、J3、J5实现。

四路抢答器 (完整版)

华北科技学院 课程设计说明书 班级:电子B071 姓名:郭亚立 设计题目:四路智能抢答器 设计时间: 2010.1.9 至 2010.1.22 学号: 200703014138 指导教师:杜志伟 评语: 评阅成绩:评阅老师:

四路抢答器设计报告 目录 一、设计任务和要求: ................................................................................................................... - 3 - 1.1设计任务 (3) 1.2设计要求 (3) 二、设计方案的选择与论证 ........................................................................................................... - 4 - 2.1方案的选择、论证 (4) 2.2设计总方案 (4) 三、电路设计计算与分析 ................................................................................................................. - 5 - 3.1抢答器控制电路设计 (5) 3.1.1 优先编码器74LS148 ..................................................................................................... - 7 - 3.1.2 锁存器74LS279 ............................................................................................................ - 9 - 3.1.3 74LS121单稳态触发器:.......................................................................................... - 10 -3.2定时时间电路的设计 (10) 3.2.1 计数器74LS192 ............................................................................................................ - 12 -3.3控制电路和报警电路 (13) 3.3.1 振荡电路....................................................................................................................... - 17 -

四路抢答器的plc控制线路的设计

目录 第一章设计选题及目的 (1) 1.1 设计选题 (1) 1.2 设计目的 (1) 第二章功能介绍 (2) 2.1 主要功能介绍 (2) 2.2 扩展功能介绍 (2) 第三章总体方案设计模块 (3) 第四章PLC抢答器编程 (4) 4.1 选定输入、输出设备 (4) 4.2 控制梯形图 (5) 4.3 工作过程 (6) 4.4 程序指令表 (6) 4.5 外部接线图 (7) 第五章单元模块设计 (8) 5.1 抢答器电路模块 (8) 5.2 定时电路模块 (12) 5.3 控制电路 (16) 第六章组装和调试 (18) 参考文献 (19) 致谢 (20) -精品-

第一章设计选题及目的 1.1 设计选题 设计选题:四路抢答器的PLC控制电路的设计。 控制要求: (1)抢答器同时供4名选手或4个代表队比赛,分别用4个按钮S1~S4表示。 (2)设置一个系统复位和抢答开始控制开关S0和S5,该开关由主持人控制,控制显示结果为S0对应红色指示灯状态,S5对应绿色指示灯状态;设置一个“回答开始”按钮s6,由主持人控制抢答时间,显示方式是黄色指示灯。 (3)抢答开始控制开关S5按下时方可抢答,竞赛者(4人)若要回答主持人所提问题时,须抢先按下桌上的抢答按钮,对应组的指示灯亮; (4)优先抢答选手对应的指示灯须等主持人按下复位按钮S0后,指示灯才熄灭; (5)如果竞赛者在主持人打开S5开关10秒内抢先按下按钮,竞赛者可得到一次幸运回答的机会;如果在主持人打开S5开关10秒内无人抢答,则必须有警示,此时红色指示灯亮,以示竞赛者放弃该题,系统复位; (6)在竞赛者抢答成功后,应限定一定的时间回答问题,根据题目难易可设定时间(如1分钟);此时由主持人控制时间,按下”回答开始”按钮,计时1分钟,计时结束时黄色指示灯灭,回答结束。 1.2 设计目的 通过这次课程设计,灵活运用plc理论知识,在实践中实现巩固所学知识,加

相关文档
相关文档 最新文档