文档库 最新最全的文档下载
当前位置:文档库 › 华信学院组成原理课设16位模型机

华信学院组成原理课设16位模型机

华信学院组成原理课设16位模型机
华信学院组成原理课设16位模型机

计算机组成原理试题及答案

A .(7CD )16 B. ( 7D0)16 C. (7E0)16 D. 3. 下列数中最大的数是 _______ 。 A .(10011001) 2 B. (227) 8 C. (98)16 4. ____ 表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 5. 在小型或微型计算机里,普遍采用的字符编码是 A. BCD 码 B. 16 进制 C. 格雷码 6. 下列有关运算器的描述中, ______ 是正确的 A. 只做算术运算,不做逻辑运算 B. C. 能暂时存放运算结果 D. 7. EPROM 是指 ____ 。 A. 读写存储器 B. C. 可编程的只读存储器 D. 8. Intel80486 是 32位微处理器, Pentium 是A.16 B.32 C.48 D.64 9 .设]X ]补=1.XXX 3X 4,当满足 _________ ■寸,X > -1/2 成立。 A. X 1必须为1,X 2X 3X 4至少有一个为1 B. X 1必须为1 , X 2X 3X 4任意 C. X 1必须为0, X 2X 3X 4至少有一个为1 D. X 1必须为0, X 2X 3X 4任意 10. CPU 主要包括 _____ 。 A.控制器 B. 控制器、运算器、cache C.运算器和主存 D.控制器、ALU 和主存 11. 信息只用一条传输线 ,且采用脉冲传输的方式称为 _________ 。 A. 串行传输 B. 并行传输 C. 并串行传输 D. 分时传输 12. 以下四种类型指令中,执行时间最长的是 _________ 。 A. RR 型 B. RS 型 C. SS 型 D. 程序控制指令 13. 下列 _____ 属于应用软件。 A. 操作系统 B. 编译系统 C. 连接程序 D. 文本处理 14. 在主存和CPU 之间增加cache 存储器的目的是 _____ 。 A. 增加内存容量 B. 提高内存可靠性 C.解决CPU 和主存之间的速度匹配问题 D. 增加内存容量,同时加快存取速 度 15. 某单片机的系统程序,不允许用户在执行时改变,则可以选用 ____________ 作为存储芯 片。 A. SRAM B. 闪速存储器 C. cache D. 辅助存储器 16. 设变址寄存器为X ,形式地址为D, (X )表示寄存器X 的内容,这种寻址方式的有 效地址为 ______ 。 A. EA=(X)+D B. EA=(X)+(D) C.EA=((X)+D) D. EA=((X)+(D)) 17. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为 ___________ 。 A. 隐含寻址 B. 立即寻址 C. 寄存器寻址 D. 直接寻址 18. 下述 I/O 控制方式中,主要由程序实现的是 ________ 。 7F0)16 D. ( 152)10 o D. ASC H 码 只做加法 既做算术运算,又做逻辑运算 只读存储器 光擦除可编程的只读存储器 位微处理器。

计算机组成原理

计算机组成原理大型实验 报告 (2010/2011第2学期------第19周) 指导教师: 班级: 姓名: 学号: 计算机组成原理课程设计实验报告 一、目的和要求 目的: 深入了解计算机各种指令的执行过程,以及控制器的组成,指令系统微程序设计的具体知识,进一步理解和掌握动态微程序设计的概念;完成微程序控制的特定功能计算机的指令系统设计和调试。 要求: (1)、内容自行设计相关指令微程序;(务必利用非上机时间设计好微程序) (2)、测试程序、实验数据并上机调试; (3)、报告内容: 包括 1、设计目的 2、设计内容 3、微程序设计(含指令格式、功能、设计及微程序) 4、实验数据(测试所设计指令的程序及结果)。(具体要求安最新规范为准) 二、实验环境 TEC—2机与PC机。 三、具体内容 实验内容: (1)把用绝对地址表示的内存单元A中的内容与内存单元B中的内容相加,结果存于内存单元C中。 指令格式:D4××,ADDR1,ADDR2,ADDR3四字指令(控存入口100H) 功能:[ADDR3]=[ADDR1]+[ADDR2] (2)将一通用寄存器内容减去某内存单元内容,结果放在另一寄存器中。 指令格式:E0DRSR,ADDR(SR,DR源、目的寄存器各4位)双字指令(控存 入口130H) 功能:DR=SR-[ADDR]

(3)转移指令。判断两个通用寄存器内容是否相等,若相等则转移到指定绝对地址,否则顺序执行。 指令格式:E5DRSR,ADDR双字指令(控存入口140H) 功能:ifDR==SRgotoADDRelse顺序执行。 设计:利用指令的CND字段,即IR10~8,令IR10~8=101,即CC=Z 则当DR==SR时Z=1,微程序不跳转,接着执行MEMPC(即ADDRPC),而当DR!=SR 时Z=0,微程序跳转至A4。 实验设计并分析: 第一条:把用绝对地址表示的内存单元A中的内容与内存单元B中的内容相加,结果存于内存单元C中。 指令格式:D4××,ADDR1,ADDR2,ADDR3四字指令(控存入口100H) 功能:[ADDR3]=[ADDR1]+[ADDR2] 指令格式: D4XX ADDR1 ADDR2 ADDR3 微程序: PC→AR,PC+1→PC:00000E00A0B55402 MEM→AR:00000E00 10F00002 MEM→Q:00000E00 00F00000 PC→AR,PC+1→PC:00000E00 A0B5 5402 MEM→AR:00000E00 10F0 0002 MEM+Q→Q:00000E01 00E0 0000 PC→AR,PC+1→PC:00000E00 A0B5 5402 MEM→AR:00000E0010F0 0002 Q→MEM,CC#=0:00290300 10200010 指令分析: PC->AR,PC+1->PC 0000 0000 1110 0000 0000 1010 0000 1011 0101 0101 0100 0000 0010 MEM->AR 0000 0000 1110 0000 0000 0001 0000 1111 0000 0000 0000 0000 0100 MEM->Q 0000 0000 1110 0000 0000 0000 0000 1111 0000 0000 0000 0000 0000 PC->AR,PC+1->PC 0000 0000 1110 0000 0000 1010 0000 1011 0101 0101 0100 0000 0010 MEM->AR 0000 0000 1110 0000 0000 0001 0000 1111 0000 0000 0000 0000 0100 MEM+Q->Q 0000 0000 1110 0000 0001 0000 0000 1110 0000 0000 0000 0000 0000 PC->AR,PC+1->PC 0000 0000 1110 0000 0000 1010 0000 1011 0101 0101 0100 0000 0010 MEM->AR 0000 0000 1110 0000 0000 0001 0000 1111 0000 0000 0000 0000 0100

计算机组成原理课设

计算机组成原理课程设计报告 班级:09计算机03 班姓名:** 学号:******** 完成时间:2012年1月3日 一、课程设计目的 1.在实验机上设计实现机器指令及对应的微指令(微程序)并验证,从而进一步掌握微程序设计控制器的基本方法并了解指令系统与硬件结构的对应关系; 2.通过控制器的微程序设计,综合理解计算机组成原理课程的核心知识并进一步建立整机系统的概念; 3.培养综合实践及独立分析、解决问题的能力。 二、课程设计的任务 针对COP2000实验仪,从详细了解该模型机的指令/微指令系统入手,以实现乘法和除法运算功能为应用目标,在COP2000的集成开发环境下,设计全新的指令系统并编写对应的微程序;之后编写实现乘法和除法的程序进行设计的验证。 三、课程设计使用的设备(环境) 1.硬件 ●COP2000实验仪 ●PC机 2.软件 ●COP2000仿真软件 四、课程设计的具体内容(步骤) 1.详细了解并掌握COP 2000模型机的微程序控制器原理,通过综合实验来实现 (1)该模型机指令系统的特点: ①总体概述 COP2000模型机包括了一个标准CPU所具备所有部件,这些部件包括:运算器ALU、累加器A、工作寄存器W、左移门L、直通门D、右移门R、寄存器组R0-R3、程序计数器PC、地址寄存器MAR、堆栈寄存器ST、中断向量寄存器IA、输入端口IN、输出端口寄存器OUT、程序存储器EM、指令寄存器IR、微程序计数器uPC、微程序存储器uM,以及中断控制电路、跳转控制电路。其中运算器和中断控制电路以及跳转控制电路用CPLD来实现,其它电路都是用离散的数字电路组成。微程序控制部分也可以用组合逻辑控制来代替。 模型机为8位机,数据总线、地址总线都为8位,但其工作原理与16位机相同。相比而言8位机实验减少了烦琐的连线,但其原理却更容易被学生理解、吸收。

计算机组成原理 试卷含答案

湖南师范大学2012—2013学年第一学期信息与计算科学专业2011年级期末/ 补考/重修课程 计算机组成原理考核试题 出卷人:毛禄广 课程代码:考核方式: 考试时量:分钟试卷类型:A/B/C/D 一、单选题(30分,每题2分) 1. 算术逻辑单元的简称为()B A、CPU。 B、ALU。 C、CU。 D、MAR。 2. EPROM是指()D A.读写存储器 B.只读存储器 C.闪速存储器 D.可擦除可编程只读存储器 3. 异步通信的应答方式不包括()D A、不互锁 B、半互锁 C、全互锁 D、以上都不包括 4. 三种集中式总线仲裁中,______方式对电路故障最敏感。A A、链式查询 B. 计数器定时查询 C. 独立请求D、以上都不正确 5. 下面说法正确的是:()B A、存储系统层次结构主要体现在缓存-主存层次上; B、缓存-主存层次主要解决CPU和主存速度不匹配的问题; C、主存和缓存之间的数据调动对程序员也是不透明的; D、主存和辅存之间的数据调动由硬件单独完成。 6. 动态RAM的刷新不包括( ) D A、集中刷新 B、分散刷新 C、异步刷新 D、同步刷新 7. 关于程序查询方式、中断方式、DMA方式说法错误的是()D A、程序查询方式使CPU和I/O设备处于串行工作状态,CPU工作效率不高; B、中断方式进一步提高了CPU的工作效率; C、三者中DMA方式中CPU的工作效率最高; D、以上都不正确。 第 1 页共5 页 8. 发生中断请求的条件不包括()D A.一条指令执行结束 B.一次I/O操作结束 C.机器内部发生故障 D.一次DMA操作结束 9. DMA的数据传送过程不包括()A A、初始化 B、预处理 C、数据传送 D、后处理 10. 下列数中最大的数为()B A.(10010101)2 B.(227)8 C.(96)8 D.(143)5 11. 设32位浮点数中,符号位为1位,阶码为8位,尾数位为23位,则它所能表示的最大规.格化正数为()B A +(2 – 2-23)×2+127B.[1+(1 – 2-23)]×2+127 C.+(2 – 223)×2+255D.2+127 -223 12. 定点运算中,现代计算机都采用_______做加减法运算。()B A、原码 B、补码 C、反码 D、移码 13._________中乘积的符号位在运算过程中自然形成。()C A、原码一位乘 B、原码两位乘 C、补码一位乘 D、以上都不是 14.设x为真值,x*为绝对值,则[-x*]补=[-x]补能否成立()C A、一定成立 B、不一定成立 C、不能成立 D、以上都不正确 15. 最少可以用几位二进制数即可表示任一5位长的十进制整数。()A A、17 B、16 C、15 D、18 二、填空题(共10分,每题1分) 1.总线控制分为判优控制和________。(通信控制) 2.总线通信常用四种方式________、异步通信、半同步通信、分离式通信。(同步通信) 3.按在计算机系统中的作用不同,存储器主要分为主存储器、辅助存储器、_________。(缓冲存 储器) 4.随机存取存储器按其存储信息的原理不同,可分为静态RAM和__________。(动态RAM) 5.I/O设备与主机交换信息的五种控制方式是程序查询方式、中断方式和、_________、I/O通道方 式和I/O处理机方式。(DMA方式) 6.程序查询方式中为了完成查询需要执行的三条指令分别为测试指令、________、转移指令。(传 送指令) 7.浮点数由阶码和________两部分组成。(尾数) 8.二进制数-0.1101的补码为__________。(10011) 9._______是补码一位乘中乘数符号为负时的方法。(校正法)

计算机组成原理课程设计微程序报告

微程序控制器的设计与实现

目录 1设计目的 (3) 2设计内容 (3) 3具体要求 (3) 4设计方案 (3) 5 调试过程 (11) 6 心得体会 (12)

微程序控制器的设计与实现 一、设计目的 1)巩固和深刻理解“计算机组成原理”课程所讲解的原理,加 深对计算机各模块协同工作的认识 2)掌握微程序设计的思想和具体流程、操作方法。 3)培养学生独立工作和创新思维的能力,取得设计与调试的实 践经验。 4)尝试利用编程实现微程序指令的识别和解释的工作流程 二、设计内容 按照要求设计一指令系统,该指令系统能够实现数据传送,进行加、减运算和无条件转移,具有累加器寻址、寄存器寻址、寄存器间接寻址、存储器直接寻址、立即数寻址等五种寻址方式。 三、设计要求 1)仔细复习所学过的理论知识,掌握微程序设计的思想,并根 据掌握的理论写出要设计的指令系统的微程序流程。指令系 统至少要包括六条指令,具有上述功能和寻址方式。 2)根据微操作流程及给定的微指令格式写出相应的微程序 3)将所设计的微程序在虚拟环境中运行调试程序,并给出测试 思路和具体程序段 4)尝试用C或者Java语言实现所设计的指令系统的加载、识 别和解释功能。 5)撰写课程设计报告。 四、设计方案 1)设计思路 按照要求设计指令系统,该指令系统能够实现数据传送,进行加、减运算和无条件转移,具有累加器寻址、寄存器寻址、寄存器间接寻址、存储器直接寻址、立即数寻址等五种寻址方式。从而可以想到如下指令:24位控制位分别介绍如下: XRD :外部设备读信号,当给出了外设的地址后,输出

此信号,从指定外设读数据。 EMWR:程序存储器EM写信号。 EMRD:程序存储器EM读信号。 PCOE:将程序计数器PC的值送到地址总线ABUS上。 EMEN:将程序存储器EM与数据总线DBUS接通,由EMWR 和EMRD决定是将DBUS数据写到EM中,还是 从EM读出数据送到DBUS。 IREN:将程序存储器EM读出的数据打入指令寄存器IR 和微指令计数器uPC。 EINT:中断返回时清除中断响应和中断请求标志,便于下次中断。 ELP: PC打入允许,与指令寄存器的IR3、IR2位结合,控制程序跳转。 MAREN:将数据总线DBUS上数据打入地址寄存器MAR。 MAROE:将地址寄存器MAR的值送到地址总线ABUS上。 OUTEN:将数据总线DBUS上数据送到输出端口寄存器OUT 里。 STEN:将数据总线DBUS上数据存入堆栈寄存器ST中。 RRD:读寄存器组R0-R3,寄存器R?的选择由指令的最低两位决定。 RWR:写寄存器组R0-R3,寄存器R?的选择由指令的最低两位决定。 CN:决定运算器是否带进位移位,CN=1带进位,CN=0不带进位。 FEN:将标志位存入ALU内部的标志寄存器。 X2:X1:X0: X2、X1、X0三位组合来译码选择将数据送到DBUS

计算机组成—复杂模型机方案

课程设计:计算机组成原理 题目名称:复杂模型机的设计 姓名: 学号:1108020184 1108020185 班级:网络工程1101班 完成时间:2018年1月6日1设计目的:建立清晰完善的整机概念; 学习设计与调试计算机的基本方法;培养严谨的科研作风和独立工作能力。 2设计任务: 综合运用所学的计算机原理知识,按给定的指令系统分和数据格式,在所提供的设备范围内,设计一台字长八位的由微程序控制器来控制的模拟计算机。设计并实现较为完整的八位模型计算机。 设计微程序控制器的逻辑原理电路图;

设计微地址转移的逻辑电路图; 设计微程序流程图; 设计说明书。 3设计指标: 字长八位; 时钟源MF=QB=1us 内存容量不得小于2 8; 指令系统不得小于十四条。要求算术逻辑指令七条、访问内存和控制指令四条、输入输出指令两条、其他指令一条。 4设计说明: 4.1数据格式 模型机规定采用定点补码表示法表示数据,且字长为8位,其格式如下: 其中,第7位为符号位,数值的表示范围是:-1乞X <1 模型机设计四大类指令共16条,其中包括算术逻辑指令、I/O指令、访问及转移指令和停机指令。 [1]算术逻辑指令 设计九条算术逻辑指令并用单字节表示,寻址方式采用寄存器直接寻址, 其格式如下: 其中,OP-COD为操作码,Rs为源寄存器,Rd为目的寄存器,并规定: [2]访存指令及转移指令 模型机设计两条访问指令,即存数(STA>、取数(LDA>,两条转移指令, 即无条件转移(JMP>结果为零或有进位转移指令(BZC>。其格式如下:

D 其中,OP-CODE^操作码,Rd为目的寄存器,D为位移量(正负均可>, M为寻址方式,其定义如下: 本模型机规定变址寄存器RI指定为寄存器R2 [3]I/O 指令 输入v IN)和输出<OUT)指令采用单字节指令,其格式如下: 其中,addr=01时,表示选中“输入单元”中的开关组作为输入设备, addr=10时,表示选中“输出单元”中的数码管作为输出设备。 [4]停机指令 这类指令只有1条,即停机指令HALT用于实现停机操作,指令格式如 4. 2系统指令 本模型机共有1 4条基本指令。其中,算术逻辑指令6条,移位指令2条,访问内存指令和程序控制指令3条,输入输出指令2条,其他它指令1条。表1列出了各条指令的格式、汇编符号、指令功能。

计算机组成原理试题集

1.数字电子计算机的主要特点是存储容量大、(运算速度快),(运算精度高)。 2.计算机各组成部件相互连接方式,从早期的以(存储器)为中心,发展到现在以(运算器)为中心。 3.指令寄存器寄存的是(C ) A、下一条要执行的指令 B、已执行完了的指令 C 、正在执行的指令D、要转移的指令 4.衡量计算机的性能指标主要有哪些(答主要的三项指标),并说明为什么? 解:衡量计算机性能的指标主要有:计算速度、存储容量和通讯带宽等,计算机速度是反映CPU性能,也是反映计算机能力的主要指标之一。存储容量反映出计算机可以处理的数据量空间的大小。带宽反映出计算机处理信息的通讯能力。 5,决定指令执行顺序的寄存器是(PC),而记录指令执行结果的状态的寄存器是(状态字寄存器) 6.最早提出“存储程序程序”概念的是(A ) A、Babbage B、V.Neumann C、Pascal D、Bell 7.如何理解计算机组成和计算机体系结构? 8.第一台电子计算机(ENIAC)是于1946年交付使用。 9.单地址指令中为了实现两个数的算术运算,除地址码指明的一个操作数外,另一个采用(隐含)寻址方法。 10.假定指令系统有m条指令,指令操作码的位数为N位,则N至少应当等于()。 11.用n+1位字长(含一位符号位)表示原码定点整数时,所能表示的数值范围是(0﹤﹦N );用n+1位字长(含一位符号位)表示原码定点小数时,所能表示的数值范围是() 1. CPU包括()两部分。 A、ALU和累加器 B、ALU和控制器 C、运算器和控制器 D、ALU和主存储器 C 2. 计算机运算速度的单位是()。 A、MTBF B、MIPS C、MHZ D、MB B 3. 若十六进数微AC.B,则其十进制数为()。 A、254.54 B、2763 C、172.6875 D、172.625 C 4. 若十进制数据为137.5则其八进制数为()。 A、89.8 B、211.4 C、211.5 D、1011111.101

计班计算机组成原理复习重点白中英版

计算机组成原理课程总结&复习考试要点 一、考试以讲授过的教材中的内容为主,归纳要点如下: 第1章 -第2章计算机概念运算方法和运算器 (一)学习目标 1.了解计算机的分类和应用。 2.掌握计算机的软、硬件构成。 3.掌握计算机的层次结构。 3.掌握数的原码、反码、补码的表示方法。 4.掌握计算机中数据的定点表示和浮点表示方法,并熟练掌握各种表示方法下所能表示的数据的范围。 5.理解定点加法原理及其判断溢出的方法。 6.了解计算机定点乘法、除法的实现方法。 7.了解浮点加法,乘法,除法的实现方法。 8.理解ALU运算器的工作原理及其扩展方法。 (二)第1章学习内容 第一节计算机的分类和应用 要点:计算机的分类,计算机的应用。 第二节计算机的硬件和软件 要点:了解计算机的硬件构成及各部分的功能;了解计算机的软件分类和发展演变。 第三节计算机系统的层次结构 要点:了解计算机系统的层次结构。 (三)第2章学习内容 第一节数据和文字的表示方法 要点:△定点数的表示方法,及其在原码、反码和补码表示下的数值的范围;△○浮点数的表示方法及其不同表示格式下数据的表示范围;常见汉字和字符的几种表示方法; 第二节定点加法、减法运算 要点:△补码加、减法及其溢出的检测方法;二进制加法器和十进制加法器的逻辑构成。 第三节定点乘法运算 要点:原码并行乘法原理;不带符号的阵列乘法器;补码并行乘法原理;○直接补码阵列乘法器。 第四节定点除法运算 要点:理解原码除法原理以及并行除法器的构成原理。 第五节多功能算术/逻辑运算单元 要点:△74181并行进位运算器;74182进位链;△○多位ALU的扩展。 第六节浮点运算运算和浮点运算器

计算机组成原理课程设计基本模型机设计与实现

课程设计(大作业)报告 课程名称:计算机组成原理 设计题目:基本模型机设计与实现 院系:信息技术学院 班级:计算机科学与技术3班 设计者: 学号: 指导教师:________________________________ 设计时间: 昆明学院 信息技术学院 课程设计(大作业)任务书 姓名:院(系):信息技术学院 专业:计算机科学与技术学号:课程设计题目:基本模型机设计与设计课程设计要求: 本课程设计以ZYE1603E计算机组成原理及系统结构教学实验系统为平台,设计完成。 1. 按给定的数据格式和指令系统,设计一个微程序控制器。 2. 设计给定机器指令系统以及微程序流程图,按微指令格式写出微程序的为指令代码。 3. 连接逻辑电路完成启动、测试、编程、测试、效验和运行,并观测运行过程及结果。 4. 将微程序控制器模块与运算器模块,存储器模块联机,组成一台模型计算机。 5. 用微程序控制器控制模型机的数据通路。 6. 通过在模型机上运行由机器指令组成的简单程序,掌握机器指令与微指令的关系, 建立计算机整机的概念,掌握计算机的控制机制。 7. 按指定的应用项目进行汇编指令格式及功能设计,并设计相应的机器指令代码,按照模型机数据通路设计实现机器指令功能的微程序。在PC机上编辑机器指令和微程序, 装载代码到ZYE1603B实验系统并运行,实现应用要求。 工作计划及安排:

2017年6月19日上午:集中进行课程设计题目的讲解和分解,提出学生需要掌握的硬件和软件方面的知识和要求;以及在课程设计期间的安全和纪律要求,熟悉开发环境 (ZYE1603B (4401 机房)。 2017年6月19日下午:查阅资料、确定题目,了解各人(小组)设计安排,就设计过程进行集中讲解,解决设计过程存在的问题。 2017年6月20日~6月22日:在机房进行系统的设计。 2017年6月23日上午:分组讨论,进行交流,了解学生的掌握情况,对本周的学习情况进行总结,对后续的设计提出要求;对存在的问题进行分析和解决。(机房)。 2017年6月23日下午:答辩,成绩评定。 指导教师签字_________________ 2017年6月19日

计算机组成原理课设(多寄存器减法、右移位、输入输出、转移指令实验计算机设计)

目录 1课设目的 (1) 2课设内容 (1) 3课设计算机的设计 (1) 3.1设计整机逻辑框图 (1) 3.2指令系统的设计 (3) 3.3微指令的格式设计及微操作控制部件的组成原理 (6) 3.4微程序设计 (9) 3.5编写调试程序 (12) 3.6编写实验程序 (14) 4实验计算机的组装 (15) 5、实验计算机的调试 (18) 5.1.调试前准备 (18) 5.2.程序调试过程 (18) 5.3调试结果 (19) 5.4出错和故障分析 (21) 5.4.1出错分析 (21) 5.4.2故障分析查找 (21) 5.4.3确认是否属故障 (22) 5.4.4正确判断故障原因 (22) 6心得体会 (22) 7参考文献 (22)

1课设目的 (1)组成一个复杂的计算机整机系统—模型机,输入程序并运行; (2)了解微程序控制器是如何控制模型机运行的,掌握整机动态工作过程; (3)定义几条机器指令,编写相应微程序并具体上机调试. (4)完成多寄存器算术减法\右移位\输入输出\转移指令实验计算机设计。 2课设内容 利用EL-JY-II型计算机组成原理实验仪提供的硬件资源,通过设计(包括整机结构设计、指令设计、微程序设计、微指令设计、调试程序设计等)、组装、调试三个步骤完成台微程序控制的简单实验计算机的研制。 完成多寄存器算术减法\右移位\输入输出\转移指令实验计算机设计。 3课设计算机的设计 3.1设计整机逻辑框图 本系统的结构组成为: 1.基板:本部分是8位机和16位机的公共部分,包括以下几个部分:数据输入和输出,显示及监控,脉冲源及时序电路,数据和地址总线,外设控制课设电路,单片机控制电路和键盘操作部分,与PC机通讯的接口,主存储器,电源,CPLD课设板(选件),自由课设区(面包板)。 2.CPU板:本板分为8位机和16位机两种,除数据字长分别为8位和16位以外,都包括以下几个部分:微程序控制器,运算器,寄存器堆,程序计数器,指令寄存器,指令译码电路,地址寄存器,数据、地址和控制总线。 运算器:由算术逻辑单元(ALU)、累加寄存器、数据缓冲寄存器、和状态条件寄存器组成,它是数据加工处理部件。相对控制器而言,运算器接受控制器的命令而进行动作,即运算器所进行的全部操作都是有控制器发出的控制信号来指挥的,所以它是执行部件. 存储器:是保存或“记忆”解题的原始数据和解题步骤。在运算前需要把参加运算的数据和解题步骤通过输入设备送到存储器中保存。 微程序控制器:控制部件通过控制线向执行部件发出各种控制命令,通常把这种控制命令叫做微命令,而执行部件接受命令后的操作叫做微操作。 1

计算机组成原理试题

计算机组成原理试题(A) 教学中心名称考点成绩 专业、班级姓名学号 一、填空题(每空1分,共10分) 1.计算机中的信息可分为两类,它们是信息和信息。 2.第二代电子数字计算机所用的基本器件是。 3.设X=-9/16,[X]补= 。 4.运算器中的核心部件是。 5.浮点表示法中,阶码决定浮点数的,尾数决定浮点数的。 6.CPU中PC的主要功能是。 7.按照信息的传送格式,接口可分为和两大类。 二、选择题(每小题2分,共20分) 1. 某主存储器按字节编址,地址线数目为16,这个存储器的容量为 . A 16K×16位B.32K×8位、C.64K ×8位 2.采用DMA方式传送数据时,每传送一个数据就要占用的时间。 A一个指令周期B.一个存储周期C.一个机器周期 3. Cache是。 A.主存的一部分 B.为扩大存储容量而设置的 C.为提高存储系统的速度而设置的 4.操作控制器的功能是。 A产生操作控制信号,以解释并执行指令 B、产生时序信号C.对指令泽码 5.中断响应时,保存PC并更新PC的内容,主要是为了. A.提高处理机的速度 B.能进入中断处理程字并能正确返回原程序 C.便于编制中断处理程序 6.计算机辅助设计是指。 A.CAD B.CAI C.CAT 7.某机字长32位,内存容量为4MW,若按字节编址,其寻址范围为. A.0~4M B。0~16M C.0~32M 8.在磁盘存储器中,与转速无关的技术指标是。 A.存储密度B.平均等待时间C.数据传输率 9.设指令中的形式地址为以相对寻址时,操作数的有效地址E=. A.(D)B.(PC)+D C.(R)+D

10.计算机中,执行部件接控制部件的命令所作的不可再分的操作称为. A.微命令B.微操作C操作 三.判断改错题(每小题2分,共10分。正确,在括号内打√;错误,则打×并更正) 1.磁盘存储器是一种随机存取存储器。() 2.零地址指令就是没有操作数的指令。() 3.时序发生器是控制器的主要部件之一。() 4.设X=10110110,采奇校验时,其校验位C=1。() 5.中断处理过程中,保存现场必须在中断服务之后进行。() 四.简答题(每小题10分,共40分) 1.CPU由哪些主要部件组成?说明各部件的作用。 2.试述高速缓冲存储器的基本设计思想和特点。 3.主机与外部设备间为什么要设置接口? 4.为什么说取指令是公操作?在取指令阶段,CPU主要完成哪些操作? 五.计算题(共10 分) 1.设X=0.0101,Y=-0.1101,用双符号补码计算X+Y=?和X-Y=?并判断其结果是否溢出。(5分) 2. 设X=8C3E(H),Y=B6DF(H),Z=54D2(H)。求X∧Y⊕Z=? (5分) 七.设计题(10分) 某机字长16 位,主存按字编址,容量为8MW,请用如下RAM芯片为该机设计一个主存。 A A0 07 1.地址线和数据线各有多少根? 2.共用多少这种芯片? 3.画出其组成框图,并正确标出各信号线。

计算机组成原理课程设计

《计算机组成原理》大作业报告 题目名称:交通灯控制系统设计 学院(部 ): 计算机学院 专业:计算机科学与技术 学生姓名: 班级 学号 最终评定成绩:___________________________________ 湖南工业大计算机学院 目录

交通在人们的日常生活中占有重要的地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。近年来随着科技的飞速发展,的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 本系统采用单片机AT89S52为中心器件来设计交通灯控制器,系统实用性强、操作简单、扩展性强。本设计就是采用单片机模拟十字路口交通灯的各种状态显示以及倒计时时间。 本设计系统由单片机I/O 口扩展系统、交通灯状态显示系统、LED数码显示系统、复位电路等几大部分组成。系统除基本的交通灯功能外,还具有倒计时等功能,较好的模拟实现了十字路口可能出现的状况。 软件上采用C51编程,主要编写了主程序,LED数码管显示程序,中断程序延时程序等。经过整机调试,实现了对十字路口交通灯的模拟。 1. 引言 当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在1 9世纪就已出现了。 1858 年,在英国伦敦主要街头安装了以燃煤气为光源的红,蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两以旋转式方形玻璃提灯组成,红色表示“停止” ,绿色表示“注意” 。1869 年1月2日,煤气灯爆炸,使警察受伤,遂被取消。 电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,19xx 年始安装于纽约市5号大街的一座高塔上。红灯亮表示“停止” ,绿灯亮表示“通行”。 19xx 年,又出现了带控制的红绿灯和红外线红绿灯。带控制的4 红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。19xx 年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉路口的停

计算机组成原理-简单模型机设计课设

目录 摘要 (2) 前言 (3) 正文 (4) 一、设计目的和设计原理 (4) 1.1设计目的 (4) 1.2设计原理 (4) 二、总体设计 (7) 三、详细设计 (8) 3.1运算器的物理结构 (8) 3.2存储器系统的组成与说明 (11) 3.3指令系统的设计与指令分析 (12) 3.4微程序控制器的逻辑结构及功能 (14) 3.5微程序的设计与实现 (18) 四、系统调试 (27) 总结 (29) 参考文献 (30) 致谢 (31)

摘要 根据设计任务书要求,本设计要实现完成一个简单计算机的设计,主要设计部分有运算器,存储器,控制器以及微指令的设计。 其中运算器由运算芯片和寄存器来完成,存储器由总线和寄存器构成,使用硬布线的方式实现控制器,从而完成设计要求。 : 关键词:基本模型机的设计;运算器;存储器;控制器;

前言 计算机组成原理是计算机科学技术学科的一门核心专业基础课程。从课程的地位来说,它在先导课程和后续课程之间起着承上启下的作用。 计算机组成原理讲授单处理机系统的组成和工作原理,课程教学具有知识面广,内容多,难度大,更新快等特点。此次课程设计目的就是为了加深对计算机的时间和空间概念的理解, 增强对计算机硬件和计算机指令系统的更进一步了解。 计算机组成原理课程设计目的是为加深对计算机工作原理的理解以及计算机软硬件之间的交互关系。不仅能加深对计算机的时间和空间的关系的理解,更能增加如何实现计算机软件对硬件操作,让计算机有条不紊的工作。

正文 一、设计目的和设计原理 1.1设计目的 融会贯通计算机组成原理课程中各章的内容,通过知识的综合运用,加深对计算机系统各模块的工作原理及相互联系的认识,特别是对硬连线控制器的认识,建立清晰的整机概念。对计算机的基本组成、部件的设计、部件间的连接、微程序控制器的设计、微指令和微程序的编制与调试等过程有更深的了解,加深对理论课程的理解。 在掌握部件单元电路实验的基础上,进一步将其组成系统地构造一台基本模型计算机。 1.2设计原理 (1)运算器 设计中所用的运算器数据通路,其中运算器由两片74LS181以并/串形成8位字长的ALU构成。运算器的输出经过一个三态门74LS245(U33)到ALUO1插座,实验时用8芯排线和内部数据总线BUSD0~D7插座BUS1~6中的任一个相连,内部数据总线通过LZD0~LZD7显示灯显示;运算器的两个数据输入端分别由二个锁存器74LS273(U29、U30)锁存,两个锁存器的输入并联后连至插座ALUBUS,测试时通过8芯排线连至外部数据总线EXD0~D7插座EXJ1~EXJ3中的任一个;参与运算的数据来自于8位数据开并KD0~KD7,并经过一三态门74LS245(U51)直接连至外部数据总线EXD0~EXD7,通过数据开关输入的数据由LD0~LD7显示。 算术逻辑运算功能发生器 74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M并行相连后连至SJ2插座,测试时通过6芯排线连至6位功能开关插座UJ2,以手动方式用二进制开关S3、S2、S1、S0、CN、M来模拟74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M;其它电平控制信号LDDR1、LDDR2、ALUB`、SWB`以手动方式用二进制开关LDDR1、LDDR2、ALUB、SWB来模拟,这几个信号有自动和手动两种方式产生,通过跳线器切换,其中ALUB`、SWB`为低电平有效,LDDR1、

北京工业大学 计算机组成原理课设 报告

计算机组成原理课程设计实验报告 班级:110703 学号:110703xx 姓名:xxx 同组人:xx 日期:2013年6月28日

目录 1.主机系统总体机构框图 ---------------------------------------------------------------3 2.数据通路机构设计 -----------------------------------------------------------------------3 3.各部件详细设计图 -----------------------------------------------------------------------6 4.指令系统中的各种机器指令 -----------------------------------------------------18 5.指令的格式和寻址方式 -------------------------------------------------------------18 6.微程序控制部件的设计方法及调试过程 ---------------------------------20 6.1.时序系统设计方法 -----------------------------------------------------------20 6.2.微指令的详细设计 -----------------------------------------------------------22 6.3.取指公共操作及指令流程 -----------------------------------------------32 6.4.取指微程序及各指令微程序 -------------------------------------------34 6.5.控制部件逻辑连线图及封装图

计算机组成原理复杂模型机的组成与运行

内蒙古师范大学计算机与信息工程学院《计算机组成原理》课程设计报告 题目五:复杂模型机的组成与运行

目录 1[ 任务描述] (2) 1.1题目名称 (2) 1.2要求 (2) 1.3实验目的 (2) 2 [设计设备] (2) 3 [设计原理和方法] (2) 3.1设计原理 (2) 3.1.1数据格式 (2) 3.1.2指令设计 (2) 3.1.3指令格式 (3) 3.1.4指令系统 (4) 3.2设计依据 (5) 3.2.1详细设计 (5) 3.3.2实验操作 (7) 4 [代码清单] (8) 4.1微程序流图 (8) 4.2机器指令代码 (9) 4.3微程序代码 (10) 5 [设计运行结果分析] (12) 5.1实验结果: (12) 5.2出错情况: (12) 6 [设计小结] (12) 7[致谢] (13) 8[参考文献] (14) 附录一:数据通路框图 (15) 附录二:复杂模型机实验电路连线图 (16)

题目五复杂模型机的组成与程序运行 1[ 任务描述] 1.1 题目名称:复杂模型机的组成与程序运行 1.2 要求:基于TD-CMA计算机组成原理教学实验系统,设计一个复杂计算机整机系统—模型机,分析其工作原理。根据模型机的数据通路以及微程序控制器的工作原理,设计完成以下几条机器指令和相应的微程序,输入程序并运行。 IN R0,00H ;从端口00H读入计数初值到R0 LDI R2,50H ;读入数据始地址 LDI R1,00H ;累加和初值00H START: LAD 10,00H,R3 ;从MEM 读入数据送R3,变址寻址,偏移量为00H ADD R1,R3 ;累加求和 INC RI ;变址寄存加1,指向下一数据 LDI R3, 01H ;送立即数入R3 SUB R0, R3 ;R0-R3 ->R0,与上一条指令一起实现DEC R0 BZC RESULT ;为0 则跳转 JMP START ;跳转至START RESULT: OUT 40H,R1 ;和在OUT 单元显示 HLT ;停机 50H、51H、52H、53H、54H单元内容分别为02H、03H、04H、05H、06H。 1.3 实验目的:该实验通过从端口00H读入一个计数初值,以该计数初值为基准从MEM 的50H单元开始的连续的计数初值个数的累加和,最后将求得的累加和从端口40H输出显示。 2 [设计设备] 实验设备:西安唐都科教仪器公司生产的TD-CMA 实验系统一套,PC机一台,数据连接导线若干,电源。 3 [设计原理和方法] 通过已经学过的计算机组成原理知识,在TD-CMA实验系统上设计一个复杂模型机。 3.1 设计原理 3.1.1数据格式 模型机规定采用定点补码表示法表示数据,字长为8位,8 位全用来表示数据(最高位不表示符号),数值表示范围是: 0≤X≤28-1。 3.1.2指令设计 该复杂模型机设计包含运算类指令、控制类指令、数据传输类指令三大类指令。 运算类指令仅用到了算术运算,算术运算设计有3 条运算类指令,分别为:ADD(两寄存器值加法)、INC(寄存器值自加1)、SUB(两寄存器值减法)。所有运算类指令都为单

计算机组成原理试卷与答案

一、选择题 1.假定下列字符码中有奇偶校验位,但没有数据错误,采用偶校校 验的字符码是______。 A 11001011 B 11010110 C 11000001 D 11001001 2.8位定点字长的字,采用2的补码表示时,一个字所能表示的整 数范围是______。 A .–128 ~ +127 B. –127 ~ +127 C. –129 ~ +128 D.-128 ~ +128 3.下面浮点运算器的描述中正确的句子是:______。 a)浮点运算器可用阶码部件和尾数部件实现 b)阶码部件可实现加、减、乘、除四种运算 c)阶码部件只进行阶码相加、相减和比较操作 d)尾数部件只进行乘法和减法运算 4.某计算机字长16位,它的存贮容量是64KB,若按字编址,那 么它的寻址范围是______ A. 64K B. 32K C. 64KB D. 32 KB 5.双端口存储器在______情况下会发生读/写冲突。 a)左端口与右端口的地址码不同 b)左端口与右端口的地址码相同 c)左端口与右端口的数据码不同 d)左端口与右端口的数据码相同

6.寄存器间接寻址方式中,操作数处在______。 A. 通用寄存器 B. 主存单元 C. 程序计数器 D. 堆栈 7.微程序控制器中,机器指令与微指令的关系是______。 a)每一条机器指令由一条微指令来执行 b)每一条机器指令由一段微指令编写的微程序来解释执行 c)每一条机器指令组成的程序可由一条微指令来执行 d)一条微指令由若干条机器指令组 8.按其数据流的传递过程和控制节拍来看,阵列乘法器可认为是 ______。 a)全串行运算的乘法器 b)全并行运算的乘法器 c)串—并行运算的乘法器 d)并—串型运算的乘法器 9.由于CPU内部的操作速度较快,而CPU访问一次主存所花的 时间较长,因此机器周期通常用______来规定。 a)主存中读取一个指令字的最短时间 b)主存中读取一个数据字的最长时间 c)主存中写入一个数据字的平均时间 d)主存中读取一个数据字的平均时间 10.程序控制类指令的功能是______。 A 进行算术运算和逻辑运算 B 进行主存与CPU之间的数据传送

相关文档
相关文档 最新文档