文档库 最新最全的文档下载
当前位置:文档库 › wincc如何调用step7变量

wincc如何调用step7变量

wincc如何调用step7变量
wincc如何调用step7变量

特别说明

此资料来自豆丁网(https://www.wendangku.net/doc/b217551359.html,/)

您现在所看到的文档是使用下载器所生成的文档

此文档的原件位于

https://www.wendangku.net/doc/b217551359.html,/p-191384429.html

感谢您的支持

抱米花

https://www.wendangku.net/doc/b217551359.html,/lotusbaob

如何将step7变量自动导入到wincc

一、从WinCC里调用STEP 7 变量的前提条件 从WinCC里调用STEP 7 变量可以将建立变量的工作量减少一半,同时将建立变量的出错概率建设一半,从而减少了相应的排错工作,大大提高了工作效率。 从WinCC里调用STEP 7 变量的前提条件是,WinCC的项目文件必须是集成在STEP 7项目中的。 在安装所有Simatic 软件前,请查阅软件的安装注意事项,确定操作系统与软件的兼容性。该文档一般位于:CD\Documents\<语言版本>\InstallNotes.chm。 要使用WinCC与STEP 7的集成功能,WinCC和STEP 7必须安装在同一台计算机上,必须在安装WinCC之前安装STEP 7。STEP 7 与WinCC的版本必须一致。WinCC 与STEP 7的版本兼容行列表可以在西门子自动化与驱动集团的技术支持与服务网站上获得。 请STEP 7安装完毕后,进行WinCC安装。在WinCC安装过程中,请选择“自定义安装”,在communitation 下的所有选项都必须钩选。 二、在Simatic Manager里建立新的WinCC项目 在建立新的STEP 7项目后,可以在Simatic Manager里直接建立新的WinCC 项目。方法是在Simatic Manager里,右键点击项目名称,选择“Insert New Object\OS”。此时系统会在STEP 7 项目文件里建立一个WinCC项目文件你可以在OS站上点击右键,选择“Re name”来修改WinCC项目名称。 可以通过在名称上点击右键,选择“Open Object”打开并编辑这个WinCC项目 三、把现成的WinCC项目集成到STEP 7 项目中 按如下步骤把已有WinCC项目文件插入STEP 7项目文件 A. 在STEP 7项目文件中插入一个OS站,然后把它改名为已有的WinCC项目名称。 B. 在STEP 7项目里删除因仅插入OS站而产生的WinCC项目文件,其位置在STEP 7 项目文件夹里的wincproj文件夹下,例如: d:\siemens\STEP7\S7proj\STEP7_Integration\wincproj\Name of the OS C. 最后在项目复制器里用’Save as’把已有的WinCC项目文件存储到STEP 7项目路径下。项目复制器位于开始菜单项"Start > SIMATIC > WinCC > Tools"。 注意: 对于集成STEP 7项目里的WinCC项目,你也可以使用压缩工具(Packer)来归档WinCC项目。 四、把变量(符号表,共享DB,背景DB)从STEP 7传送到WinCC里 STEP项目文件里的符号表,共享DB里的变量可以一次性的传入继承的WinCC 项目文件。 具体步骤如下: A、为需要传输的变量打上“传输标志” 在需要传输的变量上点击右键,从关联菜单中选择“Special Object Properties \ Operator Control and Monitoring…”,打开Operator Control and Monitoring对话框,激活复选框“Operator Control and Monitoring”,并点击“OK”关闭对话框。此时该变量前将显示绿色小旗 如需对成批变量进行该操作,可以使用“Shift+鼠标左键”进行批选择,或

STEP7-Microwin-V4.0编程软件的使用

STEP7 Microwin V4.0编程软件的使用STEP7 Microwin V4.0编程软件是专为西门子公司S7-200系列小型机而设计的编程工具软件,使用该软件可根据控制系统的要求编制控制程序并完成与PLC的实时通信,进行程序的下载与上传及在线监控。 一、STEP 7-Micro/WIN的窗口组件 1、操作栏显示编程特性的按钮控制群组: “视图”:选择该类别,为程序块、符号表,状态图,数据块,系统块,交叉参考及通讯显示按钮控制。 “工具”:选择该类别,显示指令向导、文本显示向导、位置控制向导、EM 253控制面板和调制解调器扩展向导的按钮控制。 注释:当操作栏包含的对象因为当前窗口大小无法显示时,操作栏显示滚动按钮,使您能向上或向下移动至其他对象。 2、指令树 提供所有项目对象和为当前程序编辑器(LAD、FBD或STL)提供的所有指令的树型视图。用户可以用鼠标右键点击树中“项目”部分的文件夹,插入附加程序组织单元(POU);也可以用鼠标右键点击单个POU,打开、删除、编辑其属性表,用密码保护或重命名子程序及中断例行程序。可以用鼠标右键点击树中“指令”部分的一个文件夹或单个指令,以便隐藏整个树。一旦打开指令文件夹,就可以拖放单个指令或双击,按照需要自动将所选指令插入程序编辑器窗口中的光标位置。可以将指令拖放在自己“偏好”的文件夹中,排列经常使用的指令。 3、交叉参考 允许用户检视程序的交叉参考和组件使用信息。

4、数据块 允许用户显示和编辑数据块内容。 5、状态图窗口 允许用户将程序输入、输出或变量置入图表中,以便追踪其状态。您可以建立多个状态图,以便从程序的不同部分检视组件。每个状态图在状态图窗口中有自己的标签。 6、符号表/全局变量表窗口 允许用户分配和编辑全局符号(即可在任何POU中使用的符号值,不只是建立符号的POU)。您可以建立多个符号表。可在项目中增加一个S7-200系统符号预定义表。 7、输出窗口 在用户编译程序时提供信息。当输出窗口列出程序错误时,可双击错误信息,会在程序编辑器窗口中显示适当的网络。当您编译程序或指令库时,提供信息。当输出窗口列出程序错误时,您可以双击错误信息,会在程序编辑器窗口中显示适当的网络。 8、状态条 提供用户在STEP 7-Micro/WIN中操作时的操作状态信息。 9、程序编辑器窗口 包含用于该项目的编辑器(LAD、FBD或STL)的局部变量表和程序视图。如果需要,用户可以拖动分割条,扩展程序视图,并覆盖局部变量表。当您在主程序一节(MAIN)之外,建立子程序或中断例行程序时,标记出现在程序编辑器窗口的底部。可点击该标记,在子程序、中断和OB1之间移动。 10、局部变量表 包含用户对局部变量所作的赋值(即子程序和中断例行程序使用的变量)。在局部变量表中建立的变量使用暂时内存;地址赋值由系统处理;变量的使用仅限于建立此变量的POU。 11、菜单条 允许用户使用鼠标或键击执行操作。您可以定制“工具”菜单,在该菜单中增加自己的工具。 12、工具条 为最常用的STEP 7-Micro/WIN操作提供便利的鼠标访问。用户可以定制每个工具条的内容和外观。 二、如何输入PLC控制程序 以三相异步电动机启停程序为例,熟悉STEP7 Micro WIN V4.0编程软件的使用方法。梯形图如下: 1、打开新项目 双击STEP 7-Micro/WIN图标,或从"开始"菜单选择SIMATIC>STEP 7 Micro/WIN,启动应用程序。会打开一个新STEP 7-Micro/WIN项目。 2、打开现有项目 从STEP 7-Micro/WIN中,使用文件菜单,选择下列选项之一: (1)打开-允许浏览至一个现有项目,并且打开该项目。 (2)文件名称-如果用户最近在一项目中工作过,该项目在"文件"菜单下列出,可直接选择,不必使用"打开"对话框。 3、进入编程状态:单击左侧查看中的程序块,进入编程状态

WinCC-STEP7仿真

WinCC-STEP7仿真 一、描述 通常我们做项目的过程中有PLC编程(STEP7平台),上位组态监控(WinCC),WinCC与PLC通过以太网方式通讯实现监控;项目编程工作完成后,为了安全起见,我们通常会通过仿真的方式测试程序,检查错误,本文介绍仿真建立的过程; 二、材料 WinCC v7.5,STEP7 v5.6,S7-PLCSIM V5.4+SP8 三、STEP7仿真步骤 在Step7中打开项目如图1所示,点击选项(Options)显示下拉窗口,选中“设置PG/PC接口”进入图2; 图1 选择PLCSIM.TCPIP.1 以太网方式通讯,点击确定; 返回Step7如图3所示,打开仿真器如图4所示; 在图4中可看到PLCSIM(TCP/IP)协议,对应PG/PC接口;

图2 图3 图4

PLC处于STOP模式见图4,如图5所示下载程序到仿真器(全部内容); 打开OB1如图7,点击在线如图8所示,且在状态栏可知PLC状态为STOP; 图5 图6

图7 图8

打开仿真器,将PLC状态调整为RUN-P如图9,至此STEP7仿真成功; 图9 图10

四、WinCC仿真步骤 打开WinCC项目如图10所示,点击“变量管理”->“SIMATIC”-> “TCP/IP”-> “系统参数” 在“系统参数-TCP/IP”->“逻辑设备名称”设置为PLCSIM.TCPIP.1 图11 在“变量管理”->“SIMATIC”-> “TCP/IP”-> “PLC1”中右击连接参数-TCPIP 如图12所示,在IP地址栏需要输入PLC的IP地址; 如何查看PLC的IP地址: 在STEP7中选择图13中hardware,进入图14,点击硬件CP443-1的属性即可查看PLC的IP地址; 注:WinCC修改变量管理中的通讯参数之后再重新启动WinCC

STEP7-功能块全中文说明

https://www.wendangku.net/doc/b217551359.html,parator == IN1等于IN2 <> IN1不等于IN2 > IN1大于IN2 < IN1小于IN2 >= IN1大于或等于IN2 <= IN1小于或等于IN2 2.整数就是没有小数位都是零的数,即能被1整除的数(如-1,-2,0,1,……)CMP ?I(INT)整数比较 CMP ?D (DINT)比较双精度整数 CMP ?R (REAL)比较实数 3.转换指令概述 BCD_I BCD码转换为整数 I_BCD 整型转换为BCD码 BCD_DI BCD码转换为双精度整数 I_DINT 整型转换为长整型 DI_BCD 长整型转换为BCD码 DI_REAL 长整型转换为浮点型 4 计数器指令 S_CUD 双向计数器 S_CD 降值计数器 S_CU 升值计数器 ---( SC ) 设置计数器线圈 ?---( CU ) 升值计数器线圈 ?---( CD ) 降值计数器线圈 5.S_CUD 双向计数器

` 6. S_CU 升值计数器

7. S_CD 降值计数器 8. ---( SC ) 设置计数器值

9. ---( CU ) 升值计数器线圈 10. ---( CD ) 降值计数器线圈 11. ---(OPN)打开数据块:DB或DI

如果想将数据块中的数据读出(如DB和DI),需要通过(OPN)打开数据块后才可读出。 12. ---(JMP)--- 无条件跳转 13. ---(JMPN) 若“否”则跳转 14. LABEL标号

【整型数学运算指令】整型数学运算指令概述说明 使用整数运算,您可以对两个整数(16和32位)执行以下运算: ? ADD_I 加整数 ? SUB_I 减整型 ? MUL_I 乘整型 ? DIV_I 除整型 ? ADD_DI 加双精度整数 ? SUB_DI 减长整型 ? MUL_DI 乘长整型 ? DIV_DI 除长整型 ? MOD_DI 返回分数长整型 15. ADD_I 整数加

WINCC与STEP7的通信

WinCC与Step7-300通讯 步骤如下: 1、用一根普通网线连接计算机和以太网模块。 2、启动Step 7 ,点击“选项---->设置PC/PG接口”,将通讯接口设置为ISO的那个,指向你正在使用的网卡。 3、点击“PLC--->编辑以太网节点”,输入以太网模块上的MAC 地址,以及你需要分配的IP地址,子网掩码,最后点击“分配IP组态”,IP分配完成。 4、点击“选项---->设置PC/PG接口”,将通讯接口设置成TCP/IP。 5、在PLC硬件组态中,将以太网IP地址设置成你刚才分配的那个IP。 6、现在你可以正常通过以太网下载程序了。 如果mmc卡是空的或者卡里面的硬件配置程序跟现有硬件一致,可以用mac地址下载。否则,必须先清空mmc卡或者先用mpi下载 ?三菱PLC输出指示灯安装于各自的输出模块上,PLC输出指示灯用于指示PLC输出信号的状态。当输出指示灯不亮时,检查、确定故障原因。 ?当利用编程器检查,确认PLC输出已经为“1”,且更换模块后PLC输出可以正常输出时,如对应的指示灯还是不亮,在检查输出模块安装、连接正常的基础上,可以 确认故障是PLC输出模块或对应的输出点本身不良引起的。输出模块、输出点本身 不良可能的原因有: ? 1.采用汇点输出(无源)时,可能PLC输出接口电路损坏;

? 2.采用源输出(有源)时,因输出负载过重、短路引起了三菱PLC内部电源电压的降低、保护; ? 3.当故障发生在扩展单元时,可能是基本单元与扩展单元间的连接不良; ? 4.三菱PLC输出接口电路损坏等。测量三菱PLC输出电压、检查模块安装与连接,在确认正确后,应更换输出模块或进行输出模块的维修与处理。 本章通过一个实际例子讲述WinCC与S7-300 PLC之间通过Ethernet的通讯方法。下面列举了一些在通讯中常用的术语。 4.1 通讯术语 (1)通讯 通讯用于描述两个通讯伙伴之间的数据交换。 (2)通讯伙伴 通讯伙伴是指可以相互进行通讯的模块,也可是说它们互相交换数据。它们可以是PLC中的中央处理器板和通讯处理器,也可以是PC中的通讯处理器。(3)通讯驱动程序 在WinCC中通讯驱动程序也指通道。它是一个软件组织,可在自动化系统和WinCC中的变量管理器之间设置连接,以便能向WinCC变量提供过程值。在WinCC中有很多通讯驱动程序,可通过不同的总线系统连接不同的自动化系统。(4)通道单元 通道单元指的是一种网络或连接类型。每个使用的通道单元必须分配给相关的通讯处理器。一些通道单元需要附加系统的组态。 (5)连接 连接是两个通讯伙伴组态的逻辑分配,用于执行已定意的通讯服务。每个连接有两个端点,它们包含对通讯伙伴进行寻址所必需的信息,以及用于建立连接的附件属性。 一旦WinCC与自动化建立正确的物理连接,就需要WinCC的通讯驱动程序和相关的通道单元来建立或组态与自动化系统间的逻辑连接。每个通道单元下可有多个连接。 4.2 建立WinCC与PLC间通讯的步骤 ●创建WinCC站与自动化系统之间的物理连接。 ●在WinCC项目中添加适当的通道驱动程序。 ●在通道驱动程序适当的通道单元下建立与制订通讯伙伴的连接。 ●在连接下建立变量。 WinCC变量管理器管理运行时的WinCC变量。它的任务是从过程中取出请求的变量值。 4.3 创建一个S7-300 PLC的项目 要实现WinCC与PLC间的通讯,首先要在PLC创建一个项目。 ●打开SIMATIC Manager,在File菜单下单击“New Project Wizard”,创建一个 项目名为zx的SIMATIC 300 Station。如图4-1所示。

STEP7_v5.4与Wincc_Flexible2008的集成与仿真

STEP 7 v5.4 与 WinCC Flexible 2008的集成与仿真 By Herowuking,2009-8-31 1. 软件环境 STEP7 v5.4 SP4 Wincc Flexible 2008 说明: 网上有人说先安装STEP7 后安装WinCC Flexible就可以 把Wincc Flexible集成到 STEP7里面去,但是我安装完 STEP7 5.4之后安装了Wincc Flexible 2008,虽然可以在STEP7里面插入HMI站点,插入之后却不能够在STEP7里面编辑这个Wincc Flexible项目。所以,我采用的是另外一种方法。 2. 项目集成 STEP1.新建STEP7项目,编写好符号表和代码之后保存; 符号表(编写好之后在WINCC FLEXIBLE中建立变量很轻松了) 我这里编了一个最简单的启停控制做演示

STEP2.新建一个WINCC FLEXIBLE 项目; 【项目】→ 【新建…】→这里我选择了TP270 10”→保存项目; 可以保存到和STEP7相同的目录下,也可以保存在别的地方。这里我放在了STEP7项目目录下新建的一个文件夹中。 执行项目集成到STEP7里面去 【项目】→【在STEP7中集成…】→选择STEP1中新建的项目; 这个时候你再用STEP7打开原来的项目发现下面多出了一个HMI 站点; 这个时候,如果同时开着Wincc Flexible 2008,双击“变量”可以自动打开变量编辑界面。但是如果这个时候Wincc Flexible 没有打开,就会一闪下面的画面,而没有任何其他反应。估计还是STEP7 v5.4SP4和Wincc Flexible 2008集成的不够好吧。 暂且不管这软件上的问题,下一步开始执行STEP7和Wincc Flexible 的连接,实现Step 7的PLCSIM 仿真和Flexible 的仿真通讯。 注意:Wincc Flexible 2008打了SP1以及HotFix5 for SP1的补丁之后,不存在上述问题了。

WINCC与STEP7模拟通信设置

WinCC与Step7-300通讯 4.1 通讯术语 (1)通讯 通讯用于描述两个通讯伙伴之间的数据交换。 (2)通讯伙伴 通讯伙伴是指可以相互进行通讯的模块,也可是说它们互相交换数据。它们可以是PLC中的中央处理器板和通讯处理器,也可以是PC中的通讯处理器。(3)通讯驱动程序 在WinCC中通讯驱动程序也指通道。它是一个软件组织,可在自动化系统和WinCC中的变量管理器之间设置连接,以便能向WinCC变量提供过程值。在WinCC中有很多通讯驱动程序,可通过不同的总线系统连接不同的自动化系统。(4)通道单元 通道单元指的是一种网络或连接类型。每个使用的通道单元必须分配给相关的通讯处理器。一些通道单元需要附加系统的组态。 (5)连接 连接是两个通讯伙伴组态的逻辑分配,用于执行已定意的通讯服务。每个连接有两个端点,它们包含对通讯伙伴进行寻址所必需的信息,以及用于建立连接的附件属性。 一旦WinCC与自动化建立正确的物理连接,就需要WinCC的通讯驱动程序和相关的通道单元来建立或组态与自动化系统间的逻辑连接。每个通道单元下可有多个连接。 4.2 建立WinCC与PLC间通讯的步骤 ●创建WinCC站与自动化系统之间的物理连接。 ●在WinCC项目中添加适当的通道驱动程序。 ●在通道驱动程序适当的通道单元下建立与制订通讯伙伴的连接。 ●在连接下建立变量。 WinCC变量管理器管理运行时的WinCC变量。它的任务是从过程中取出请求的变量值。 4.3 创建一个S7-300 PLC的项目 要实现WinCC与PLC间的通讯,首先要在PLC创建一个项目。 ●打开SIMATIC Manager,在File菜单下单击“New Project Wizard”,创建一个 项目名为zx的SIMATIC 300 Station。如图4-1所示。

WINCC与STEP7地通信

WinCC与Step7-300通讯 本章通过一个实际例子讲述WinCC与S7-300 PLC之间通过Ethernet的通讯方法。下面列举了一些在通讯中常用的术语。 4.1 通讯术语 (1)通讯 通讯用于描述两个通讯伙伴之间的数据交换。 (2)通讯伙伴 通讯伙伴是指可以相互进行通讯的模块,也可是说它们互相交换数据。它们可以是PLC中的中央处理器板和通讯处理器,也可以是PC中的通讯处理器。(3)通讯驱动程序 在WinCC中通讯驱动程序也指通道。它是一个软件组织,可在自动化系统和WinCC中的变量管理器之间设置连接,以便能向WinCC变量提供过程值。在WinCC 中有很多通讯驱动程序,可通过不同的总线系统连接不同的自动化系统。(4)通道单元 通道单元指的是一种网络或连接类型。每个使用的通道单元必须分配给相关的通讯处理器。一些通道单元需要附加系统的组态。 (5)连接 连接是两个通讯伙伴组态的逻辑分配,用于执行已定意的通讯服务。每个连接有两个端点,它们包含对通讯伙伴进行寻址所必需的信息,以及用于建立连接的附件属性。 一旦WinCC与自动化建立正确的物理连接,就需要WinCC的通讯驱动程序和相关的通道单元来建立或组态与自动化系统间的逻辑连接。每个通道单元下可有多个连接。 4.2 建立WinCC与PLC间通讯的步骤 ●创建WinCC站与自动化系统之间的物理连接。 ●在WinCC项目中添加适当的通道驱动程序。 ●在通道驱动程序适当的通道单元下建立与制订通讯伙伴的连接。 ●在连接下建立变量。 WinCC变量管理器管理运行时的WinCC变量。它的任务是从过程中取出请求的变量值。 4.3 创建一个S7-300 PLC的项目 要实现WinCC与PLC间的通讯,首先要在PLC创建一个项目。 ●打开SIMATIC Manager,在File菜单下单击“New Project Wizard”,创建 一个项目名为zx的SIMATIC 300 Station。如图4-1所示。

实验二西门子PLC编程软件STEP的使用入门

实验二西门子P L C编程软件S T E P7的使用入门 一、实验目的 1.初步掌握编程软件STEP7 的使用方法。 2.了解PLC中程序块的概念。 二、实验设备(仪器) 1.计算机一台。 2.西门子S7-300PLC(CPU:315-2DP)一台。 三、实验内容 1.编程软件STEP7 的硬件组态。 (1)新建一个项目。首先用鼠标左键双击桌面上的STEP7图标,进入SIMATIC Manager(管理器)窗口,单击“File”菜单下的“New”,如图2-1所示,弹出一个对话框,在项目名称“Name”中输入sample,也可以在项目的存储路径“Storage location”中输入你要存储的地址,本实验中使用默认地址就可以,如图2-2所示,单击“Ok”完成,如图2-3所示。 图2-1 项目管理器界面 图2-2 创建一个新的项目 图2-3 创建一个项目后的管理器界面 (2)插入一个S7-300的站,进行硬件组态。在“Insert”菜单下的“”Station的目录下单击“2 SIMATIC 300 Station”,如图2-4所示。 图2-4 在项目中插入对象 (3)打开硬件组态界面。选中左边窗口中的“SIMATIC 300(1)”,在右边窗口中可以看到“Hardware”图标,如图2-5所示。 图2-5 启动硬件组态程序 双击右边窗口中的“Hardware”图标,进入硬件组态程序界面,如图2-6所示。 (4)主机架的配置方法。在STEP7中,通过简单的拖放操作就可以完成主机架的配置。 ①在硬件目录中找到S7-300机架拖拽到左上方的视图中,即可添加一个主机架。

图2-6 硬件组态程序界面 ②插入主机架后,分别在机架中的1号槽中添加电源,如图2-7所示,2号槽中添加CPU,如图2-8所示。 图2-7 向主机架中添加电源 图2-8 向主机架中添加CPU ③如果需要扩展机架,则应该在IM-300目录中找到相应的接口模块,添加到3号槽。如无扩展机架,3号槽留空。 ④4到11号槽中添加信号模块,功能模块,通讯处理器等,上述模块分别在硬件目 录中的SM-300,FM-300和CP-300目录下。例如,在主机架中添加了一个数字量输入模块 和一个数字量输出模块,如图2-9和图2-10所示。 图2-9向主机架中添加一个数字量输入模块 图2-10 向主机架中添加一个数字量输出模块 (5)单击图标进行编译和保存,单击图标把硬件组态程序下载到PLC中。 2.编程软件STEP7 的CPU模块和I/O模块的参数设置。 (1)CPU的参数配置。双击机架中的“CPU”,打开CPU的属性对话框,在此可以根据要求配置CPU的各种参数,如图2-11所示。 图2-11 CPU的参数配置 MPI地址的设置。在CPU的属性对话框中单击“Properties”按钮,更改CPU的MPI 地址为3和通讯速率为,如图2-12所示。设置完成后,点击“OK”,回到CPU的参数配置框,如图2-13所示,可以看见MPI的“Address”中的2变为3,“Networded”中的No变为Yes,点击“OK”完成。 图2-12 MPI接口地址和数据传输速率的设置对话框 图2-13 设置完成后的CPU的参数配置 DP参数的设置。双击机架中的DP所在的行,在弹出的DP属性窗口中的“General”(常规)选项卡中点击“Interface”中的“Properties”按钮,如图2-14所示,可以设置站地址或DP子网络的属性,生成或选择其他子网络,图2-15所示。设置完成后,点击“OK”回到硬件组态程序界面,如图2-16所示,可以看到多了一条Profibus-DP总线。

STEP7-快速入门

STEP7 V5.3 快 速 入 门 合肥锐锋自控工程有限公司 2007.3

一硬件组态 首先打开STEP7 V5.3软件(Simatic Manager)进入STEP7的编程画面. 在名称(NAME)栏目内填上要建的 项目名称,按即可。

此时打开的是名为CHEN的空项目,里面仅有一个MPI(1)的图标,在它下方空白处按鼠标右键,在弹出的窗体上点击“Insert New Object”,选择SIMATIC 400 Station,首先建立S7400站。系统自动在项目“CHEN”下面生成“SIMATIC 400(1)”图标,它表示S7400站已建立,但此时的S7400站里是空的,软件和硬件的组态都没有。双击Simatic 400(1)图标,打开400站的组态,里面只有硬件组态(Hardware)图标,双击它进入硬件组态画面,这是S730/400 PLC编程的基础,所有的硬件信息、通讯方式、通讯地址、外部的输入/输出地址都要在此定义,必须按照硬件组态的地址编写用户软件。 SIEMENS S7300/400 PLC的硬件是模块化的,系统就是由这些模块以搭积木

的方式组成,硬件组态的任务就是用软件的方式模拟这些模块组成的过程。 右边的栏目所列的是SIEMENS S7400/300系列PLC的硬件配置库,组态时要从这些库中找到与实际应用模块型号完全一致的模块按照SIEMENS的硬件组态标准进行“安装”,当然是指软件上的安装。

首先是安装底板。所有的PLC模块都是安装在底板上的,S7400的底板功能有: A、固定硬件模块 B、模块之间的通讯连接(内部有通讯线路),这一点不同于 S7300,S7300的底板仅仅是个支架。 在“RACK-400”中找到“UR1”,这是我 们实际用到的底板型号,如果不能确定众 多同类型号中哪种才是我们要找的,可以 依次用鼠标单击,在右下角会出现被点中 模块的详细型号(“6ES7 400-1TA01-0AA0”),直到找到完全相符的 模块(实际用到的模块型号可以在控制柜 的PLC模块面板上找到)。找到后,双击 “UR1”,可以看到图中打圈的底板示意图, 图中左侧的数字表示底板插槽号(SLOT), “1”表示最左侧的插槽,依次类推,共 18个插槽。第一个插槽是固定用来插电源 模块的,点击底板上的1号插槽,然后按 上面的方法,在PS-400下找到型号为 “6ES7 407-0KA01-0AA0”的电源模块,双 击即可安装,如图所示,插槽上显示出电 源模块的基本型号PS 407 10A ,在下方的模块列表上则显示了它的详细型号:6ES7 407-0KA01-0AA0;该模块体积较大,占据了1、2两个插槽,所以接下来的模块,只能插在3号及以后的插槽上。 与上面的方法相同,在硬件组态库中的CPU-400文件夹中,找到6ES7 414-2XG04-0AB0型号,选择V4.1版本号,双击安装,出现一个对话框,这是有关通讯方式的选择,默认的方式是没有任何网络选项。因为本系统的控制层选择了PRIFIBUS-DP方式,所以必须要添加PROFIBUS方式,按“NEW“,弹出如下画面:

基于Step7和WinccFlexible联合仿真教程

基于Step7和WinccFlexible联合仿真教程目录 0 项目要求:..................................................................... .............................................. 2 1 项目分析与规 划: .................................................................... .................................... 2 2 系统IO口分配:..................................................................... ..................................... 2 3 系统接线原理 图: .................................................................... .................................... 2 4 系统控制方式规划:..................................................................... .. (2) 5 系统硬件选择与组态...................................................................... . (3) 6 PLC程序设计...................................................................... ........................................ 19 7 触摸屏通讯设置、画面设计与变量控制....................................................................... 25 8 项目仿真测 试 .....................................................................

wincc与step7仿真通讯设置

wincc与step7仿真通讯设 置 liudaxian2000 |2018-06-30 | 2.1分(高于81.78%的文档)|4235|142 |简介|举报手机打开 共享文档 一、MPI网的仿真 1、PC/PG端口选择:STEP7选PLCSIM(MPI),WINCC选MPI (Wincc)――>PLCSIM(MPI)。 2、先在STEP7V5·4软件编好控制程序。 3、打开S7-PLCSIMV5·4软件,在出来的窗口中勾下面的那个选项, 点第二个选项,选择打开STEP7V5·4程序的项目。 4、下载程序并运行。 5、打开WINCC V6.0并新建项目,在变量管理添加新的驱动程序, 选····S7···的,然后在MPI新建连接,在MPI上点击右键――>系统参数――>单元――>在逻辑设备项选择“PLCSIM(MPI)”。 6、新建变量并编辑画面,然后保存运行。 二、TCP/IP网的仿真 1、PC/PG端口选择:STEP7选PLCSIM(RFC 1006),WINCC 选CP_I2_1:――>PLCSIM(RFC 1006)。

2、先在STEP7V5·4软件组态硬件(要有CP343-1并填入IP地址)并编好控制程序。 3、打开S7-PLCSIMV5·4软件,在出来的窗口中勾下面的那个选项,点第二个选项,选择打开STEP7V5·4程序的项目。 4、下载程序并运行。 5、打开WINCC V6.0并新建项目,在变量管理添加新的驱动程序,选····S7···的,然后在TCP/IP新建连接(记得填入STEP7组态时的IP 地址),更改插槽号为2。在TCP/IP上点击右键――>系统参数――>单元――>在逻辑设备项选择“CP_I2_1:”。 6、新建变量并编辑画面,然后保存运行。 三、PROFIBUS网的仿真 1、PG/PC接口选择:STEP7选择S7ONINE(STEP7)――>PLCSIM (PROFIBUS),WINCC选CP_I2_1:――>PLCSIM(PROFIBUS)。 2、WINCC中在PROFIBUS新建连接,然后进入PROFIBUS的系统参数――>单元――>逻辑设备名称选:PLCSIM(PROFIBUS)。 3、其它和MPI及TCP/IP的仿真一样。 *PLCSIM 版本5.4

wincc集成到step7中

1.从WinCC里调用STEP 7变量的前提条件 从WinCC里调用STEP 7 变量可以将建立变量的工作量减少一半,同时将建立变量的出错概率减少一半,从而减少了相应的排错工作,大大提高了工作效率。 从WinCC里调用STEP 7 变量的前提条件是,WinCC的项目文件必须是集成在STEP 7项目中的。 在安装所有Simatic 软件前,请查阅软件的安装注意事项,确定操作系统与软件的兼容性。该文档一般位于:CD\Documents\<语言版本>\InstallNotes.chm。 要使用WinCC与STEP 7的集成功能,WinCC和STEP 7必须安装在同一台计算机上,必须在安装WinCC之前安装STEP 7。STEP 7 与WinCC的版本必须兼容。WinCC 与STEP 7的版本兼容性列表可以在西门子自动化与驱动集团的技术支持与服务网站上获得。 请STEP 7安装完毕后,进行WinCC安装。在WinCC安装过程中,请选择“自定义安装”,并且选中下图中红框标识的选件: 图 1. 与集成相关的WinCC组件 2.在Simatic Manager里建立新的WinCC项目 在建立新的STEP 7项目后,可以在Simatic Manager里直接建立新的WinCC项目。方法是在Simatic Manager里,右键点击项目名称,选择“Insert New Object\OS”。此时系统会在STEP 7 项目文件里建立一个WinCC项目文件。

图 2. 插入OS站 你可以在OS站上点击右键,选择“Rename”来修改WinCC项目名称。 可以通过在名称上点击右键,选择“Open Object”打开并编辑这个WinCC项目。 图 3. 改名和打开WinCC项目 3.把现成的WinCC项目集成到STEP 7项目中 如果你在一台计算机上已经安装了兼容的WinCC和STEP 7,并且有了一个单独使用的WinCC项目,想把它集成到一个已有的STEP 7项目中去。

STEP7连接与上传下载程序

Step 7 连接, 下载与上传 本文简述如何与S7-300或S7-400 PLC进行连接和下载、上传程序。以下皆使用西门子SIMATIC STEP 7 version 5.4 软件演示。 从开始菜单中打开SIMATIC Manager编程软件。 与S7 PLC进行通讯连接 编程线缆 编程通讯线缆主要有三种: 1. CP5512卡(插在PCMCIA插槽中)。 注:CP5512订货号6GK1 551-2AA00 2. 带串口或USB接口的PC适配器。 注:串口线缆订货号6ES7 972-0CA3-0XA0 USB口线缆订货号6ES7 972-0CB20-0XA0

3. 网线 CP5512卡和PC适配器可以与PLC的MPI接口或PROFIBUS接口通讯(PROFIBUS接口在PLC上标记为DP)。要注意的是PC适配器从PLC的通讯口上获得电源,而CP5512卡从电脑获得电源。 以太网(TCP/IP)通讯使用一根标准网线连接电脑和PLC的网口(PLC网络中已经安装了CP343/443模块或网络交换机)。 检查通讯 将编程线缆插好后,可通过点击“访问节点”图标检查通讯情况。 如果通讯成功,将会弹出如下窗口。此时可将节点窗口关闭并准备下载和上传操作。

如果通讯失败会弹出以下窗口: 这说明通讯线缆未连接到编程口,或设置错误。 设置PG/PC 接口 为启动与PLC的通讯,需要配置PC/PG接口的编程线缆和通讯协议。打开菜单选项> 设置PG/PC接口

将会弹出以下窗口显示所有不同的接口(即通讯驱动)。 每种线缆都有其各自的驱动。对于以太网连接则应选择您电脑的网卡TCP/IP协议,而不是无线网卡。 为了快速得到合适的设置,可以使用接口的自动功能。电脑会自动搜寻并启用匹配的设置。 选择PC Adapter后点击属性按钮,确定该站的地址是网络中的唯一地址,不能与网络中现有 的PLC和从站设备地址冲突。检查本地连接选项卡,确保连接到端口的线缆与设置相匹配。 一旦选择了正确的接口和属性设置,然后单击确定,并使用可访问节点窗口查询通讯成功,则可正常工作。对于CP5512和PC适配器,若不想仔细检查连接设置和线缆,应该选择西门子默 认的MPI端口(接线也要插到PLC的MPI口上)。 如果这样设置也通讯不上,我也不知道该怎么办了。 下载(将程序从电脑传送到PLC) 首先,为了是下载菜单可用,你得选中要下载的项目站点中的程序块。

基于现场程序实现PLC S7与wincc联合仿真

基于SIMPLC实现PCS7-WINCC联合仿真 1、把备份PLC程序恢复归档,解压 2、桌面打开SIMTIC Manger,选择step7模式 3、打开网络组态,更改网络连接,把原有网络连接删掉,新建仿真用的网络连接,编译保 存。连接类型connection type选择S7-connection。然后选择主CPU CPU414-4H,确定便已保存。

4、打开硬件组态,打开网络连接设置,把IP protocol is be used 打上勾,激活mac 码,IP, 记住该mac码后几位:46-4E,以后网络连接用该MAC码对应选择。编译保存。 5、点开wincc os 主机,右键选择complie 编译,把PLC变量送入wincc生成wincc对用变 量。Complie—next—YJL—右键select network connection,选择mac码后几位:46-4E 的 工业以太网。

6、在SIMTIC Manger 编辑画面中选择 os wincc ,右键点击打开wincc ,选择computer 右键 属性,点击Use local computer name ,选择转换成使用本地计算机。确定,退出wincc ,重启wincc 进入下一项设置 7、打开 SIMATIC S7 PROTOCOL SUITE 协议组下面的industral Ethernet 工业以太网,点击系统参数, 选择连接端口 为仿真连接端口 PLCSIM(ISO)

8、打开仿真器S7-PLCSIM,访问CUP节点中,选择cpu414-4H下面的cp443-1 mac为46-4E的连接点。 9、以上是设置好PLC与wincc的通信连接设置,接着就要回到S7,点击选中项目YJJL 工作站,右键PLC download,或直接点击菜单栏下载图标,将PLC程序下载到存储器中。 完成以上步骤,仿真设置就完成了。点击wincc 蓝色三角形运行图标,激活运行wincc,大功告成。

STEP7和WinCC的安装方法

STEP7的安装方法 1、所有安装文件必须放在某磁盘根目录的某个文件夹中。安装文件夹的名称不能有中文字 符。否则,会出现“找不到SSF文件”的提示信息而无法继续安装。 2、STEP7 V5.5_CN(中文版)只能安装在32位操作系统中(WinXP 32位、Win7 32位)。安装 文件在[STEP7 V55_CN]文件夹中,该版本不包含PLCSIM软件,需要另外单独安装。可以安装PLCSIM V54SP5汉化版。安装文件在[S7-PLCSIM V54+SP5]文件夹中。 3、STEP7 V5.5SP1_HOME(英文家庭版)既可以安装在WinXP 32位操作系统上,也可以安装在 64位操作系统中(Win7 64位)上。安装文件名:[STEP7 V55SP1_Home_x32_x64.iso]。该版本包含PLCSIM软件,无需另外单独安装。该版本的PLCSIM可以汉化,方法:把[PLCSIM_v5.4 SP3汉化]文件夹中[s7wsvlrb.dll]文件复制到[C:\Program Files(X86)\simens\plcsim\s7wsi\]中覆盖原文件。 4、STEP7 V5.5SP2和SP3(中文版)既可以安装在WinXP 32位操作系统上,也可以安装在64 位操作系统中(Win7 64位)上。安装文件名:[STEP7 V55SP2CN.iso]和[STEP7 V55SP3.iso]。 这两个版本不包含PLCSIM软件,需要另外单独安装。可以安装PLCSIM V54SP5汉化版,安装文件夹名:[S7-PLCSIM V54SP5]。 5、安装后只有14天的试用期,需要安装密钥文件解锁。密钥文件放在[Simatic_EKB_Install] 文件夹中。可以选择最新的密钥文件Sim_EKB_Install_2015_03_29解密。安装STEP7时选择以后传送许可证密钥,见图1所示。密钥文件的安装方法见图2所示。 图1

step7常用功能块的使用说明

目的:FC105的使用 1、FC105是处理模拟量(1~5V、4~20MA等常规信号)输入的功能块,在中,打开Libraries\standard library\ Ti-S7 Converting Blocks\fc105,将其调入OB1中,给各个管脚输入地址;如下: 其中,管脚的定义如下: IN---------模拟量模块的输入通道地址,在硬件组态时分配; HI_LIM---现场信号的最大量程值; LO_LIM--现场信号的最小量程值; BIPOLAR—极性设置,如果现场信号为+10V~-10V(有极性信号),则设置为1, 如果现场信号为4MA~20MA(无极性信号);则设置为0;

OUT-------现场信号值(带工程量单位);信号类型是实数,所以要用MD200来存放; RET_V AL-FC105功能块的故障字,可存放在一个字里面。如:MW50; 2、热电偶、热电阻信号的处理,该类信号实际值是通道整数值的1/10; 3、FB41 PID控制模块的使用; PID模块是进行模拟量控制的模块,可以完成恒压、恒温等控制功能在中,打开Libraries\standard library\ PID Control block\FB41,将其调入OB1中,首先分配背景数据块DB41,再给各个管脚输入地址;如下: 4、脉冲输出模块FB43,该模块是将模拟量转换成比率的脉冲输出。Libraries\standard library\ PID Control block\FB43,将其调入OB1中,首先分配背景数据块DB43,再给各个管脚输入地址;如下:

5、果现场是阀门等执行机构,只需要将通道地址输入PID的输出通道,如下:

相关文档
相关文档 最新文档