文档库 最新最全的文档下载
当前位置:文档库 › 基于VHDL语言在电路设计中的优化探讨

基于VHDL语言在电路设计中的优化探讨

西南民族大学学报自然科学版

第32卷第4期

Jour nal of Sout hw est U ni ver si t y for N at i onal i t i es N at ural Sci ence Edi t i on

J ul y 2006

___________________________________________________________________

___________________________

收稿日期2006-03-24

作者简介符兴昌(1969-)男四川文理学院物电系讲师研究方向为电子技术与计算机应用.

基金项目

四川省教育厅自然科学重点项目(2005A186).

文章编号

1003-2843(2006)04-0778-05

基于

V H D L 语言在电路设计中的优化探讨

符兴昌

(四川文理学院物电系

四川达州635000)

摘要对V H D L 语言在电路设计应用中如何优化电路结构进行一些探讨通过从描述方式

算法的改进和优化

言语句的综合优化等几个方面提出了优化方案并结合实例进一步分析V H D L 综合优化的实现效果.

关键词

V H D L 语言

电路设计

优化

中图分类号TP391

文献标识码A

1引言

V H D L 是随着可编程逻辑器件(PL D )的发展而发展起来的一种超高速集成电路硬件描述语言

1987年成为

I E E E 标准[1].利用V H D L 数字系统可以从系统行为级寄存器传输级和门级三个不同层次进行设计即从上层到下层(抽象到具体)逐层描述自己的设计思想用一系列分层次的模块来表示极其复杂的数字系统[2]

.然后利

用电子设计自动化(E D A )工具逐层进行仿真验证再把其中需要变为实际电路的模块组合经过自动化综合工具转换到门级电路网表再用专用集成电路(A SI C )或现场可编程门阵列(FPG A )自动布局布线工具[3]

把网表转

换为要实现的具体电路布线结构.

虽然V H D L 语言支持全部的仿真功能

但并不是全部可综合的[4]

V H D L 程序的许多硬件描述和仿真结构没

有对应的数字电路来实现还有些描述在理论上可以映射为对应的数字电路但是却不能保证其精确性比如延时模型.随着综合算法技术水平的提高针对某些寄存器传输级(R T L)电路描述可以进行有效的优化但是对于更普遍的电路描述还不够因此综合结果是否满足给定的时间约束条件和面积约束条件还取决于V H D L 编码方式.由于每个电路工程师对语言规则电路行为的理解程度不同编程风格不同对于同样的系统功能如果描述的方式不一样

则综合出来的电路就有可能大相径庭.因此即使最后综合出来的电路都能实现相同的逻

辑功能其电路的复杂程度和时延特性都会有很大的差别.本文就V H D L 语言在电路设计中如何简化电路结构达到优化电路的一些问题进行一些探讨.

2

V H D L 的综合优化设计

2.1

合理选择描述方法

可减小电路的复杂程度

在电路设计中V H D L 语言描述方法不同对电路器件布线选择产生直接影响因此不同的语言描述对电路的复杂程度工作速度影响很大.最常见的使电路复杂化的原因之一是设计中存在着许多不必要的结构.而这些结构通常由大量的触发器构成不仅使电路更复杂工作速度降低而且由于时序配合的原因导致不可

预料的结果.下面是对同一译码电路的两种V H D L 描述.1

i f i ndex =

00000

t hen s t eps i ze <=0000111

;e l si f i ndex =00001

t hen

779

符兴昌基于V H D L语言在电路设计中的优化探讨

第4期

___________________________________________________________________ s t eps i ze<=0001000;

e l si

f i ndex=00010t hen

s t eps i ze<=0001001;

e l se

st e psi ze<=000000;

e nd i f;

(2)st epsi ze<=0000111w he n i ndex=00000e l se

0001000w hen i ndex=00001el se

0001001w hen i ndex=00010el s e

0000000;

以上两段程序描述同一个译码电路第二段程序由于w hen e l se的语句不能生成锁存器的结构并且el se 后一定要有结果所以不会有问题而第一段程序如果不加el se s t eps i ze<=0000000这句则会生成一个含有7b寄存器的结构虽然都实现相同的译码功能但是程序(1)使电路的复杂程度大大增加.因此在用V H D L进行设计中要注意尽量避免不必要的寄存器描述.

另外可以根据实际的设计要求选择合适的描述方式.采用V H D L可以对系统进行不同层次的描述常用的描述方式有四种行为级描述寄存器传输级描述结构描述混合描述等.不同描述各有优缺点行为描述方便易用同时不需要了解系统的实现细节但是会产生占用器件资源过多速度较慢等问题而寄存器传输级描述和结构描述可以减少资源占用提高系统运行速度但要求设计人员必须掌握系统的实现细节例如信号之间的时序关系互连器件的层次放置等.

2.2通过改进设计算法达到优化电路的目的

用V H D L语言设计电路在进行综合优化时主要有两个目标一是提高目标器件的工作速度二是提高目标器件的利用率.因此可以从算法(电路结构)上对设计进行改进和优化.

下面以两种不同的算法设计一个模6计数器并对它们的资源使用情况执行效率和对应的布线图进行比较.该设计方案采用Synopsys公司的FPG A E xpr ess综合器目标器件选用xi l i nx公司的4010X LPC84.表1是两个算法的端口定义和主体部分.

表1两个算法的设计比较表

算法1算法2

端口定义en:i n st d_ul ogi c;

cl k:i n s t d_ul ogi c;

carr y:out st d_ul ogi c;

q:out s t d_ul ogi c_vect or(2dow nt o0)

en:i n st d_l ogi c;

cl k:i n s t d_l ogi c;

carr y:out st d_l ogi c;

q:buff er st d_l ogi c_vect or(2dow nt o0)主体部分pr ocess(cl k)

vari abl e q6:i nt eger;

begi n

i f(cl k evt en cl k=1)t hen

i f(en=0)t hen

q6:=0;

el se

i f(q6=5)t hen

pr ocess(cl k)

begi n

i f(cl k evt en cl k=1)t hen

i f(en=0)t hen

q<=(ot her s=>0);

el se

i f(q=b101)t hen

q<=(ot her s=>0);

第32卷780西南民族大学学报自然科学版

___________________________________________________________________

q6:=0;

ca<=0;

el si f(q6=4)t hen

q6:=q6+1;

ca<=1;

el se

q6:=q6+1;

ca<=0;

end i f;

end i f;

qs<=conv_st d_l ogi c_vect or(q6,3);

q<=t o_st dul ogi cvect or(qs);

end i f;

end process;

ca<=0;

el si f(q=b100)t hen

q<=q+1;

ca<=1

el se;

q<=q+1;

ca<=0;

end i f;

end i f;

end i f;

end process;

上述两个算法相比较算法2使用的数据类型更接近硬件的实际应用数据类型并且不需转换而直接进行处理从而在很大程度上提高系统的处理效率和速度而且占用系统资源少具体情况见表2表3.

表2两个算法资源使用情况比较表

器件主要资源

及总量

可配置逻辑块

(400个)

外围可配置I/O块

(160个)

四输入查找表

(800个)

三输入查找表

(400个)

算法1使用

(资源情况)

算法2使用

(资源情况)

26个

(占6.5%)

3个

(占0.8%)

9个

(占5.6%)

6个

(占3.8%)

49个

(占6.1%)

5个

(占0.6%)

8个

(占2.0%)

0个

(占0.0%)

表3两个算法的执行效率比较表

最大可达频率(M H z)最大网络延迟(ns)平均连接延迟(ns)

算法141.3799.120 2.778

算法2122.459 6.207 1.469

同时通过这两个算法所对应的电路布线图(见图1)可以看出算法2要比算法1实现的电路要简单优化.

(a)算法1(b)算法2

图1两个算法实现的布线图

781 ___________________________________________________________________

符兴昌基于V H D L语言在电路设计中的优化探讨

第4期

2.3断言语句的综合优化

V H D L语句中提供了断言语句设计者可以利用断言语句对V H D L源描述中的模型增加限制和约束条件.这种限制和约束条件模拟和综合都可以使用.[5]断言语句非常有用尤其是在设计的调试模拟阶段设计者可以通过断言语句报告的有关内容检查限制条件为何得不到满足和V H D L描述是否正确.在有些模拟系统中当一些重要的限制条件没有满足如发现了模型不能处理的错误就会停止模拟过程.

断言语句中限制条件的补表达式可作为随意(D on t Car e)条件用于综合时的化简这样可以提高综合的优化程度.例如对下列V H D L源描述

EN T I Y Y Exam pl e of A sse r t I S

PO RT(x:I N bi t;

Y:I N bi t;

Z:O U T bi t);

EN D Exam pl e of A sse r t;

A R C H I T E CT U R E A r ch O F Exam pl e O f A sser t I S

BE G I N

Z<=(x A N D y)O R(N O T x A N D N O T y);

A SSER T N O T x O R y SE V E R I TY E r r or;

EN D A r ch;

利用其中断言语句的随意条件综合出的实现电路可以得到优化如图2所示.图2-A为没有利用断言语句随意条件的实现电路图2-B为利用断言语句随意条件化简后的实现电路.两者相比较后者得到优化.

(A)(B)

图2利用断言语句的综合结果比较

3结语

随着功能强大的E D A开发软件和专业的综合工具的不断发展使PLD设计或A SI C设计过程更简单更快捷.但是要提高设计质量编程方式仍然起着很重要的作用除了上述讨论的几点V H D L语言优化电路结构外另外在用V H D L语言编程时还应注意以下几点

(1)在条件允许范围内尽量用集成度高的电路语言直接描述也就是说编写程序时可深入到逻辑电路内部在门级上用V H D L语言来表达.

(2)在编写程序前要对整个设计进行深入了解把设计分成若干部分每一部分再分别描述其行为尽量用多个较少位数的单元取代较多位数的单元这样综合出来的电路较简单.

(3)在V H D L中无约束整数的范围是-2147483647+2147483647这意味着至少要32b来表示但通常会造成资源浪费有些综合软件会自动进行优化但浪费时间.所以如果需要全范围的整型数据最好指定一个范围.

(4)当在V H D L中使用算术逻辑关系逻辑等通用逻辑结构时我们可以选择针对特定工艺的优化宏模块

这样可以提高执行效率使得综合结果面积更小效率更高所需编译时间更短.

___________________________________________________________________ 782西南民族大学学报自然科学版

第32卷

(5)由于综合工具只能支持V H D L子集为保证在综合前后的仿真保持相同以下语句在综合中应该避免使

避免使用w a i t f or ns这种语句不会被综合为实际的电路元件避免a f t er ns在综合工具

进行综合时会忽略a f t er语句避免在信号和变量声明时赋初值因为大部分综合工具会忽略初始化语句否则综合出来的结果和仿真结果将产生差异.

参考文献

[1]高书莉罗朝霞.可编程逻辑设计技术及应用[M].北京人民邮电出版社2001.

[2]阮忠林金表陈强.综合电子电路应用指南[M].北京机械工业出版社2004(8):208-212.

[3]王华王汝传吴凡.基于V H D L语言的FPG A设计[J].微型机与应用2002(11):20-22.

[4]刘明业张东晓许庆平.V H D L高级综合系统设计中某些关键问题的技术决策[J].计算机学报1997,20(6):501-509.

[5]吴建国孙元刘明业.V H D L语言中断言语句及其综合方法研究[J].计算机学报,1998,21(10):929-932.

I nqui r y about m aj or i zat i on of V H D L Language i n c i r cui t des i gn

FU X i ng-chang

(D epar t m ent of Phys i cs and El ect roni cs,Si chuan Inst i t ut e of H um ani t i es and Sci ences,D azhou635000,P.R.C.)

A bst r ac t:I n t hi s t hesi s t he i nqui r y a bout t he m aj or i z at i on of t he c i r cui t st r uct ur e i s m ade

w hen t he V H D L l anguage i s appl i e d t o t he ci r cui t de si gn.The m aj or i zat i on pr ogr ami s dr aw n on t he bas i s of t he del i nea t i on w ay,t he i m pr ove m ent and m aj or i z at i on of t he al gor i t hm,and t he i nt e gr at ed m aj or i za t i on of t he asse r t st at em ent.The r eal i zat i on ef f ect of t he V H D L S

i nt egr at ed m aj or i za t i on i s f ur t he r anal yzed by com bi ni ng t he l i vi ng e xam pl es.

K ey w or ds:V H D L Language c i r cui t desi gn m aj or i z t i on

相关文档
相关文档 最新文档