文档库 最新最全的文档下载
当前位置:文档库 › 半导体一些术语的中英文对照

半导体一些术语的中英文对照

半导体一些术语的中英文对照
半导体一些术语的中英文对照

半导体一些术语的中英文对照

离子注入机ion implanter

LSS理论Lindhand Scharff and Schiott theory 又称“林汉德-斯卡夫-斯高特理论”。

沟道效应channeling effect

射程分布range distribution

深度分布depth distribution

投影射程projected range

阻止距离stopping distance

阻止本领stopping power

标准阻止截面standard stopping cross section

退火annealing

激活能activation energy

等温退火isothermal annealing

激光退火laser annealing

应力感生缺陷stress-induced defect

择优取向preferred orientation

制版工艺mask-making technology

图形畸变pattern distortion

初缩first minification

精缩final minification

母版master mask

铬版chromium plate

干版dry plate

乳胶版emulsion plate

透明版see-through plate

高分辨率版high resolution plate, HRP

超微粒干版plate for ultra-microminiaturization 掩模mask

掩模对准mask alignment

对准精度alignment precision

光刻胶photoresist

又称“光致抗蚀剂”。

负性光刻胶negative photoresist

正性光刻胶positive photoresist

无机光刻胶inorganic resist

多层光刻胶multilevel resist

电子束光刻胶electron beam resist

X射线光刻胶X-ray resist

刷洗scrubbing

甩胶spinning

涂胶photoresist coating

后烘postbaking

光刻photolithography

X射线光刻X-ray lithography

电子束光刻electron beam lithography

离子束光刻ion beam lithography

深紫外光刻deep-UV lithography

光刻机mask aligner

投影光刻机projection mask aligner

曝光exposure

接触式曝光法contact exposure method

接近式曝光法proximity exposure method

光学投影曝光法optical projection exposure method 电子束曝光系统electron beam exposure system

分步重复系统step-and-repeat system

显影development

线宽linewidth

去胶stripping of photoresist

氧化去胶removing of photoresist by oxidation

等离子[体]去胶removing of photoresist by plasma 刻蚀etching

干法刻蚀dry etching

反应离子刻蚀reactive ion etching, RIE

各向同性刻蚀isotropic etching

各向异性刻蚀anisotropic etching

反应溅射刻蚀reactive sputter etching

离子铣ion beam milling

又称“离子磨削”。

等离子[体]刻蚀plasma etching

钻蚀undercutting

剥离技术lift-off technology

又称“浮脱工艺”。

终点监测endpoint monitoring

金属化metallization

互连interconnection

多层金属化multilevel metallization

电迁徙electromigration

回流reflow

磷硅玻璃phosphorosilicate glass

硼磷硅玻璃boron-phosphorosilicate glass

钝化工艺passivation technology

多层介质钝化multilayer dielectric passivation 划片scribing

电子束切片electron beam slicing

烧结sintering

印压indentation

热压焊thermocompression bonding

热超声焊thermosonic bonding

冷焊cold welding

点焊spot welding

球焊ball bonding

楔焊wedge bonding

内引线焊接inner lead bonding

外引线焊接outer lead bonding

梁式引线beam lead

装架工艺mounting technology

附着adhesion

封装packaging

金属封装metallic packaging

陶瓷封装ceramic packaging

扁平封装flat packaging

塑封plastic package

玻璃封装glass packaging

微封装micropackaging

又称“微组装”。

管壳package

管芯die

引线键合lead bonding

引线框式键合lead frame bonding

带式自动键合tape automated bonding, TAB 激光键合laser bonding

超声键合ultrasonic bonding

红外键合infrared bonding

微电子辞典

Abrupt junction 突变结Accelerated testing 加速实验

Acceptor 受主Acceptor atom 受主原子

Accumulation 积累、堆积Accumulating contact 积累接触

Accumulation region 积累区Accumulation layer 积累层

Active region 有源区Active component 有源元

Active device 有源器件Activation 激活

Activation energy 激活能Active region 有源(放大)区

Admittance 导纳Allowed band 允带

Alloy-junction device合金结器件Aluminum(Aluminium) 铝

Aluminum –oxide 铝氧化物Aluminum passivation 铝钝化

Ambipolar 双极的Ambient temperature 环境温度

Amorphous 无定形的,非晶体的Amplifier 功放扩音器放大器Analogue(Analog) comparator 模拟比较器Angstrom 埃

Anneal 退火Anisotropic 各向异性的

Anode 阳极Arsenic (AS) 砷

Auger 俄歇Auger process 俄歇过程

Avalanche 雪崩Avalanche breakdown 雪崩击穿

Avalanche excitation雪崩激发

Background carrier 本底载流子Background doping 本底掺杂

Backward 反向Backward bias 反向偏置

Ballasting resistor 整流电阻Ball bond 球形键合

Band 能带Band gap 能带间隙

Barrier 势垒Barrier layer 势垒层

Barrier width 势垒宽度Base 基极

Base contact 基区接触Base stretching 基区扩展效应

Base transit time 基区渡越时间Base transport efficiency基区输运系数

Base-width modulation基区宽度调制Basis vector 基矢

Bias 偏置Bilateral switch 双向开关

Binary code 二进制代码Binary compound semiconductor 二元化合物半导体Bipolar 双极性的Bipolar Junction Transistor (BJT)双极晶体管

Bloch 布洛赫Blocking band 阻挡能带

Blocking contact 阻挡接触Body - centered 体心立方

Body-centred cubic structure 体立心结构Boltzmann 波尔兹曼

Bond 键、键合Bonding electron 价电子

Bonding pad 键合点Bootstrap circuit 自举电路

Bootstrapped emitter follower 自举射极跟随器Boron 硼

Borosilicate glass 硼硅玻璃Boundary condition 边界条件

Bound electron 束缚电子Breadboard 模拟板、实验板

Break down 击穿Break over 转折

Brillouin 布里渊Brillouin zone 布里渊区

Built-in 内建的Build-in electric field 内建电场

Bulk 体/体内Bulk absorption 体吸收

Bulk generation 体产生Bulk recombination 体复合

Burn - in 老化Burn out 烧毁

Buried channel 埋沟Buried diffusion region 隐埋扩散区

Can 外壳Capacitance 电容

Capture cross section 俘获截面Capture carrier 俘获载流子

Carrier 载流子、载波Carry bit 进位位

Carry-in bit 进位输入Carry-out bit 进位输出

Cascade 级联Case 管壳

Cathode 阴极Center 中心

Ceramic 陶瓷(的)Channel 沟道

Channel breakdown 沟道击穿Channel current 沟道电流

Channel doping 沟道掺杂Channel shortening 沟道缩短

Channel width 沟道宽度Characteristic impedance 特征阻抗

Charge 电荷、充电Charge-compensation effects 电荷补偿效应

Charge conservation 电荷守恒Charge neutrality condition 电中性条件Charge drive/exchange/sharing/transfer/storage 电荷驱动/交换/共享/转移/存储

Chemmical etching 化学腐蚀法Chemically-Polish 化学抛光Chemmically-Mechanically Polish (CMP) 化学机械抛光Chip 芯片

Chip yield 芯片成品率Clamped 箝位

Clamping diode 箝位二极管Cleavage plane 解理面

Clock rate 时钟频率Clock generator 时钟发生器

Clock flip-flop 时钟触发器Close-packed structure 密堆积结构

Close-loop gain 闭环增益Collector 集电极

Collision 碰撞Compensated OP-AMP 补偿运放

Common-base/collector/emitter connection 共基极/集电极/发射极连接Common-gate/drain/source connection 共栅/漏/源连接

Common-mode gain 共模增益Common-mode input 共模输入

Common-mode rejection ratio (CMRR) 共模抑制比

Compatibility 兼容性Compensation 补偿

Compensated impurities 补偿杂质Compensated semiconductor 补偿半导体Complementary Darlington circuit 互补达林顿电路

Complementary Metal-Oxide-Semiconductor Field-Effect-Transistor(CMOS)

互补金属氧化物半导体场效应晶体管

Complementary error function 余误差函数

Computer-aided design (CAD)/test(CAT)/manufacture(CAM) 计算机辅助设计/ 测试/制造

Compound Semiconductor 化合物半导体Conductance 电导

Conduction band (edge) 导带(底) Conduction level/state 导带态

Conductor 导体Conductivity 电导率

Configuration 组态Conlomb 库仑

Conpled Configuration Devices 结构组态Constants 物理常数

Constant energy surface 等能面Constant-source diffusion恒定源扩散

Contact 接触Contamination 治污

Continuity equation 连续性方程Contact hole 接触孔

Contact potential 接触电势Continuity condition 连续性条件

Contra doping 反掺杂Controlled 受控的

Converter 转换器Conveyer 传输器

Copper interconnection system 铜互连系统Couping 耦合

Covalent 共阶的Crossover 跨交

Critical 临界的Crossunder 穿交

Crucible坩埚Crystal defect/face/orientation/lattice 晶体缺陷/晶面/晶向/晶

Current density 电流密度Curvature 曲率

Cut off 截止Current drift/dirve/sharing 电流漂移/驱动/共享

Current Sense 电流取样Curvature 弯曲

Custom integrated circuit 定制集成电路Cylindrical 柱面的

Czochralshicrystal 直立单晶

Czochralski technique 切克劳斯基技术(Cz法直拉晶体J)

Dangling bonds 悬挂键Dark current 暗电流

Dead time 空载时间Debye length 德拜长度

De.broglie 德布洛意Decderate 减速

Decibel (dB) 分贝Decode 译码

Deep acceptor level 深受主能级Deep donor level 深施主能级

Deep impurity level 深度杂质能级Deep trap 深陷阱

Defeat 缺陷

Degenerate semiconductor 简并半导体Degeneracy 简并度

Degradation 退化Degree Celsius(centigrade) /Kelvin 摄氏/开氏温度

Delay 延迟Density 密度

Density of states 态密度Depletion 耗尽

Depletion approximation 耗尽近似Depletion contact 耗尽接触

Depletion depth 耗尽深度Depletion effect 耗尽效应

Depletion layer 耗尽层Depletion MOS 耗尽MOS

Depletion region 耗尽区Deposited film 淀积薄膜

Deposition process 淀积工艺Design rules 设计规则

Die 芯片(复数dice)Diode 二极管

Dielectric 介电的Dielectric isolation 介质隔离

Difference-mode input 差模输入Differential amplifier 差分放大器

Differential capacitance 微分电容Diffused junction 扩散结

Diffusion 扩散Diffusion coefficient 扩散系数

Diffusion constant 扩散常数Diffusivity 扩散率

Diffusion capacitance/barrier/current/furnace 扩散电容/势垒/电流/炉

Digital circuit 数字电路Dipole domain 偶极畴

Dipole layer 偶极层Direct-coupling 直接耦合

Direct-gap semiconductor 直接带隙半导体Direct transition 直接跃迁

Discharge 放电Discrete component 分立元件

Dissipation 耗散Distribution 分布

Distributed capacitance 分布电容Distributed model 分布模型

Displacement 位移Dislocation 位错

Domain 畴Donor 施主

Donor exhaustion 施主耗尽Dopant 掺杂剂

Doped semiconductor 掺杂半导体Doping concentration 掺杂浓度

Double-diffusive MOS(DMOS)双扩散MOS.

Drift 漂移Drift field 漂移电场

Drift mobility 迁移率Dry etching 干法腐蚀

Dry/wet oxidation 干/湿法氧化Dose 剂量

Duty cycle 工作周期Dual-in-line package (DIP)双列直插式封装

Dynamics 动态Dynamic characteristics 动态属性

Dynamic impedance 动态阻抗

Early effect 厄利效应Early failure 早期失效

Effective mass 有效质量Einstein relation(ship) 爱因斯坦关系

Electric Erase Programmable Read Only Memory(E2PROM) 一次性电可擦除只读存储器

Electrode 电极Electrominggratim 电迁移

Electron affinity 电子亲和势Electronic -grade 电子能

Electron-beam photo-resist exposure 光致抗蚀剂的电子束曝光

Electron gas 电子气Electron-grade water 电子级纯水

Electron trapping center 电子俘获中心Electron V olt (eV) 电子伏

Electrostatic 静电的Element 元素/元件/配件

Elemental semiconductor 元素半导体Ellipse 椭圆

Ellipsoid 椭球Emitter 发射极

Emitter-coupled logic 发射极耦合逻辑Emitter-coupled pair 发射极耦合对

Emitter follower 射随器Empty band 空带

Emitter crowding effect 发射极集边(拥挤)效应

Endurance test =life test 寿命测试Energy state 能态

Energy momentum diagram 能量-动量(E-K)图Enhancement mode 增强型模式Enhancement MOS 增强性MOS Entefic (低)共溶的

Environmental test 环境测试Epitaxial 外延的

Epitaxial layer 外延层Epitaxial slice 外延片

Expitaxy 外延Equivalent curcuit 等效电路

Equilibrium majority /minority carriers 平衡多数/少数载流子

Erasable Programmable ROM (EPROM)可搽取(编程)存储器

Error function complement 余误差函数

Etch 刻蚀Etchant 刻蚀剂

Etching mask 抗蚀剂掩模Excess carrier 过剩载流子

Excitation energy 激发能Excited state 激发态

Exciton 激子Extrapolation 外推法

Extrinsic 非本征的Extrinsic semiconductor 杂质半导体

Face - centered 面心立方Fall time 下降时间

Fan-in 扇入Fan-out 扇出

Fast recovery 快恢复Fast surface states 快界面态

Feedback 反馈Fermi level 费米能级

Fermi-Dirac Distribution 费米-狄拉克分布Femi potential 费米势

Fick equation 菲克方程(扩散)Field effect transistor 场效应晶体管

Field oxide 场氧化层Filled band 满带

Film 薄膜Flash memory 闪烁存储器

Flat band 平带Flat pack 扁平封装

Flicker noise 闪烁(变)噪声Flip-flop toggle 触发器翻转

Floating gate 浮栅Fluoride etch 氟化氢刻蚀

Forbidden band 禁带Forward bias 正向偏置

Forward blocking /conducting正向阻断/导通

Frequency deviation noise频率漂移噪声

Frequency response 频率响应Function 函数

Gain 增益Gallium-Arsenide(GaAs) 砷化钾

Gamy ray r 射线Gate 门、栅、控制极

Gate oxide 栅氧化层Gauss(ian)高斯

Gaussian distribution profile 高斯掺杂分布Generation-recombination 产生-复合

Geometries 几何尺寸Germanium(Ge) 锗

Graded 缓变的Graded (gradual) channel 缓变沟道

Graded junction 缓变结Grain 晶粒

Gradient 梯度Grown junction 生长结

Guard ring 保护环Gummel-Poom model 葛谋-潘模型

Gunn - effect 狄氏效应

Hardened device 辐射加固器件Heat of formation 形成热

Heat sink 散热器、热沉Heavy/light hole band 重/轻空穴带

Heavy saturation 重掺杂Hell - effect 霍尔效应

Heterojunction 异质结Heterojunction structure 异质结结构Heterojunction Bipolar Transistor(HBT)异质结双极型晶体

High field property 高场特性

High-performance MOS.( H-MOS)高性能MOS. Hormalized 归一化Horizontal epitaxial reactor 卧式外延反应器Hot carrior 热载流子

Hybrid integration 混合集成

Image - force 镜象力Impact ionization 碰撞电离

Impedance 阻抗Imperfect structure 不完整结构

Implantation dose 注入剂量Implanted ion 注入离子

Impurity 杂质Impurity scattering 杂志散射

Incremental resistance 电阻增量(微分电阻)In-contact mask 接触式掩模Indium tin oxide (ITO) 铟锡氧化物Induced channel 感应沟道

Infrared 红外的Injection 注入

Input offset voltage 输入失调电压Insulator 绝缘体

Insulated Gate FET(IGFET)绝缘栅FET Integrated injection logic集成注入逻辑Integration 集成、积分Interconnection 互连

Interconnection time delay 互连延时Interdigitated structure 交互式结构

Interface 界面Interference 干涉

International system of unions国际单位制Internally scattering 谷间散射Interpolation 内插法Intrinsic 本征的

Intrinsic semiconductor 本征半导体Inverse operation 反向工作

Inversion 反型Inverter 倒相器

Ion 离子Ion beam 离子束

Ion etching 离子刻蚀Ion implantation 离子注入

Ionization 电离Ionization energy 电离能

Irradiation 辐照Isolation land 隔离岛

Isotropic 各向同性

Junction FET(JFET) 结型场效应管Junction isolation 结隔离

Junction spacing 结间距Junction side-wall 结侧壁

Latch up 闭锁Lateral 横向的

Lattice 晶格Layout 版图

Lattice binding/cell/constant/defect/distortion 晶格结合力/晶胞/晶格/晶格常熟/晶格缺陷/晶格畸变

Leakage current (泄)漏电流Level shifting 电平移动

Life time 寿命linearity 线性度

Linked bond 共价键Liquid Nitrogen 液氮

Liquid-phase epitaxial growth technique 液相外延生长技术Lithography 光刻Light Emitting Diode(LED) 发光二极管

Load line or Variable 负载线Locating and Wiring 布局布线Longitudinal 纵向的Logic swing 逻辑摆幅

Lorentz 洛沦兹Lumped model 集总模型

Majority carrier 多数载流子Mask 掩膜板,光刻板

Mask level 掩模序号Mask set 掩模组

Mass - action law质量守恒定律Master-slave D flip-flop主从D触发器Matching 匹配Maxwell 麦克斯韦

Mean free path 平均自由程Meandered emitter junction梳状发射极结Mean time before failure (MTBF) 平均工作时间

Megeto - resistance 磁阻Mesa 台面

MESFET-Metal Semiconductor金属半导体FET

Metallization 金属化Microelectronic technique 微电子技术Microelectronics 微电子学Millen indices 密勒指数

Minority carrier 少数载流子Misfit 失配

Mismatching 失配Mobile ions 可动离子

Mobility 迁移率Module 模块

Modulate 调制Molecular crystal分子晶体

Monolithic IC 单片IC MOSFET金属氧化物半导体场效应晶体管Mos. Transistor(MOST )MOS. 晶体管Multiplication 倍增

Modulator 调制Multi-chip IC 多芯片IC

Multi-chip module(MCM) 多芯片模块Multiplication coefficient倍增因子

Naked chip 未封装的芯片(裸片)Negative feedback 负反馈Negative resistance 负阻Nesting 套刻

Negative-temperature-coefficient 负温度系数Noise margin 噪声容限Nonequilibrium 非平衡Nonrolatile 非挥发(易失)性

Normally off/on 常闭/开Numerical analysis 数值分析

Occupied band 满带Officienay 功率

Offset 偏移、失调On standby 待命状态

Ohmic contact 欧姆接触Open circuit 开路

Operating point 工作点Operating bias 工作偏置

Operational amplifier (OPAMP)运算放大器

Optical photon =photon 光子Optical quenching光猝灭

Optical transition 光跃迁Optical-coupled isolator光耦合隔离器Organic semiconductor有机半导体Orientation 晶向、定向

Outline 外形Out-of-contact mask非接触式掩模

Output characteristic 输出特性Output voltage swing 输出电压摆幅Overcompensation 过补偿Over-current protection 过流保护

Over shoot 过冲Over-voltage protection 过压保护

Overlap 交迭Overload 过载

Oscillator 振荡器Oxide 氧化物

Oxidation 氧化Oxide passivation 氧化层钝化

Package 封装Pad 压焊点

Parameter 参数Parasitic effect 寄生效应

Parasitic oscillation 寄生振荡Passination 钝化

Passive component 无源元件Passive device 无源器件

Passive surface 钝化界面Parasitic transistor 寄生晶体管

Peak-point voltage 峰点电压Peak voltage 峰值电压

Permanent-storage circuit 永久存储电路Period 周期

Periodic table 周期表Permeable - base 可渗透基区

Phase-lock loop 锁相环Phase drift 相移

Phonon spectra 声子谱

Photo conduction 光电导Photo diode 光电二极管

Photoelectric cell 光电池

Photoelectric effect 光电效应

Photoenic devices 光子器件Photolithographic process 光刻工艺(photo) resist (光敏)抗腐蚀剂Pin 管脚

Pinch off 夹断Pinning of Fermi level 费米能级的钉扎(效应)

Planar process 平面工艺Planar transistor 平面晶体管

Plasma 等离子体Plezoelectric effect 压电效应

Poisson equation 泊松方程Point contact 点接触

Polarity 极性Polycrystal 多晶

Polymer semiconductor聚合物半导体Poly-silicon 多晶硅

Potential (电)势Potential barrier 势垒

Potential well 势阱Power dissipation 功耗

Power transistor 功率晶体管Preamplifier 前置放大器

Primary flat 主平面Principal axes 主轴

Print-circuit board(PCB) 印制电路板Probability 几率

Probe 探针Process 工艺

Propagation delay 传输延时Pseudopotential method 膺势发

Punch through 穿通Pulse triggering/modulating 脉冲触发/调制Pulse Widen Modulator(PWM) 脉冲宽度调制

Punchthrough 穿通Push-pull stage 推挽级

Quality factor 品质因子Quantization 量子化

Quantum 量子Quantum efficiency量子效应

Quantum mechanics 量子力学Quasi –Fermi-level准费米能级Quartz 石英

Radiation conductivity 辐射电导率Radiation damage 辐射损伤Radiation flux density 辐射通量密度Radiation hardening 辐射加固Radiation protection 辐射保护Radiative - recombination辐照复合

Radioactive 放射性Reach through 穿通

Reactive sputtering source 反应溅射源Read diode 里德二极管Recombination 复合Recovery diode 恢复二极管Reciprocal lattice 倒核子Recovery time 恢复时间

Rectifier 整流器(管)Rectifying contact 整流接触Reference 基准点基准参考点Refractive index 折射率Register 寄存器Registration 对准

Regulate 控制调整Relaxation lifetime 驰豫时间

Reliability 可靠性Resonance 谐振

Resistance 电阻Resistor 电阻器

Resistivity 电阻率Regulator 稳压管(器)

Relaxation 驰豫Resonant frequency共射频率

Response time 响应时间Reverse 反向的

Reverse bias 反向偏置

Sampling circuit 取样电路Sapphire 蓝宝石(Al2O3)Satellite valley 卫星谷Saturated current range电流饱和区

Saturation region 饱和区Saturation 饱和的

Scaled down 按比例缩小Scattering 散射

Schockley diode 肖克莱二极管Schottky 肖特基

Schottky barrier 肖特基势垒Schottky contact 肖特基接触Schrodingen 薛定厄Scribing grid 划片格

Secondary flat 次平面

Seed crystal 籽晶Segregation 分凝

Selectivity 选择性Self aligned 自对准的

Self diffusion 自扩散Semiconductor 半导体Semiconductor-controlled rectifier 可控硅Sendsitivity 灵敏度Serial 串行/串联Series inductance 串联电感

Settle time 建立时间Sheet resistance 薄层电阻

Shield 屏蔽Short circuit 短路

Shot noise 散粒噪声Shunt 分流

Sidewall capacitance 边墙电容Signal 信号

Silica glass 石英玻璃Silicon 硅

Silicon carbide 碳化硅Silicon dioxide (SiO2) 二氧化硅Silicon Nitride(Si3N4) 氮化硅Silicon On Insulator 绝缘硅Siliver whiskers 银须Simple cubic 简立方

Single crystal 单晶Sink 沉

Skin effect 趋肤效应Snap time 急变时间

Sneak path 潜行通路Sulethreshold 亚阈的

Solar battery/cell 太阳能电池Solid circuit 固体电路

Solid Solubility 固溶度Sonband 子带

Source 源极Source follower 源随器

Space charge 空间电荷Specific heat(PT) 热

Speed-power product 速度功耗乘积Spherical 球面的

Spin 自旋Split 分裂

Spontaneous emission 自发发射Spreading resistance扩展电阻Sputter 溅射Stacking fault 层错

Static characteristic 静态特性Stimulated emission 受激发射Stimulated recombination 受激复合Storage time 存储时间

Stress 应力Straggle 偏差

Sublimation 升华Substrate 衬底

Substitutional 替位式的Superlattice 超晶格

Supply 电源Surface 表面

Surge capacity 浪涌能力Subscript 下标

Switching time 开关时间Switch 开关

Tailing 扩展Terminal 终端

Tensor 张量Tensorial 张量的

Thermal activation 热激发Thermal conductivity 热导率

Thermal equilibrium 热平衡Thermal Oxidation 热氧化

Thermal resistance 热阻Thermal sink 热沉

Thermal velocity 热运动Thermoelectricpovoer 温差电动势率

Thick-film technique 厚膜技术Thin-film hybrid IC薄膜混合集成电路Thin-Film Transistor(TFT) 薄膜晶体Threshlod 阈值

Thyistor 晶闸管Transconductance 跨导

Transfer characteristic 转移特性Transfer electron 转移电子Transfer function 传输函数Transient 瞬态的

Transistor aging(stress) 晶体管老化Transit time 渡越时间Transition 跃迁Transition-metal silica 过度金属硅化物

Transition probability 跃迁几率Transition region 过渡区

Transport 输运Transverse 横向的

Trap 陷阱Trapping 俘获

Trapped charge 陷阱电荷Triangle generator 三角波发生器Triboelectricity 摩擦电Trigger 触发

Trim 调配调整Triple diffusion 三重扩散

Truth table 真值表Tolerahce 容差

Tunnel(ing) 隧道(穿)Tunnel current 隧道电流

Turn over 转折Turn - off time 关断时间

Ultraviolet 紫外的Unijunction 单结的

Unipolar 单极的Unit cell 原(元)胞

Unity-gain frequency 单位增益频率Unilateral-switch单向开关

Vacancy 空位Vacuum 真空

Valence(value) band 价带Value band edge 价带顶

Valence bond 价键Vapour phase 汽相

Varactor 变容管Varistor 变阻器

Vibration 振动V oltage 电压

Wafer 晶片Wave equation 波动方程

Wave guide 波导Wave number 波数

Wave-particle duality 波粒二相性Wear-out 烧毁Wire routing 布线Work function 功函数

Worst-case device 最坏情况器件

Yield 成品率

Zener breakdown 齐纳击穿

Zone melting 区熔法

AS:Abnormal Tail Sensitivity 线尾灵敏度异常

AT:Abnormal Tail Threshold 线的阀值异常

Bnd:Bond 键合

B/H:Bond Head 焊头

BBOS: Bond Ball On Stitch

BFM:Ball Formation Monitor 检测烧球质量

BOS:Basic Operation & Setup 基本操作& 设置

BPO:Bond Pad Opening Pad尺寸

BPP:Bond Pad Pitch 焊点之间的距离

BQM:Bond Quality Monitor 焊接质量检测

BSD:Bond Stick Detection 检测键合粘度

BSOB:Bond Stitch on Ball

BSOS:Bond Stitch on Stitch

BTO:Bond Tip Offset 焊针与镜头十字线中心位置同步校正

C:Coax Light 同轴光

CA: Chamfer Angle 倒角角度

CD:Chamfer Diameter 倒角直径

CRT:Cathode Ray Tube 阴极射线管

CS:Contamination Sensitivity 污染敏感度

CT:Contamination Threshold 污染阀值

Ctrl:Control 控制;管理;抑制

D: Die 芯片

DAC igital Analog Converter 数字转换器

DSP igital Signal Processing 数字信号处理

EFO:Elevtronic Flame-Off 电子打火系统

FA:Face Angle 顶锥角(面锥角)

FAB:Free Air Ball 空气球

FD:Floppy Disk 软盘,软式磁碟片

Frd:Forward 前进

GEM:Generic

Hi: Hight Magnification高倍率

Hybd:Hybrid 混合动力/混合式

Impd:Impedence 阻抗

Ins: Inspection 检查,检验

L/F ead Frame 框架

Lo: Low Magnification 低倍率

Lgt ight 光照/灯

Manu: Manual 手册;指南

MTBA:Mean Time Between Assist

MTBF:Mean Time Between Failure

NSOL:Non Stick On Lead 第二点打不粘

NSOP:Non Stick On Pad 第一点打不粘

OLP:Off Line Programming

OLVP:Off Line Verification Program

OR:Outer Radius

PID roportional Integral Differential

PM reventive Maintenance 生产保养

PR attern Recognition 图像识别

PRS:Pattern Recognition System 图像识别系统

RD:Reverse Distance 反向距离

RDA:Reverse Distance Angle 反向角度

Rev:Reverse: 反相

RH:Reverse Height 反向高度

S:Side Light侧灯

SECS:Semiconductor Equipment Control Standard 半导体设备控制标准SG:Spark Generator 火花发生器

SMPS:Switch Mode Power Supply 电源供应器

Tol:Tolerance 公差;容忍;宽容;公差

T/P:Top Plate 顶板

UPH:Unit Per Hour 每小时产量

UTI:Ultrasonic Transducer Interface 超声波传感受器接口

VLL:Visual Lead Locator 导脚定位

W/C:Wire Clamp 线夹

W/H:Work Holder 轨道

W/S:Wire Spool 线轴

ESD:Electro Static Discharge 静电释放

EPa:ESD Protected area 静电防护区

ESDS 静电敏感设备

BM:Breakdown Maintenance 事后维修

CM:Corrective Maintenance 改良保养

PVM:Preventive Maintenance 预防保养

MP:Maintence Preventive 保养预防

PM:Production Maintenance 生产保养

BG:back grinding 背部研磨

DS:die saw 将wafer切die

DA:die attach =DB: die bond 装片

WB:wire bond 焊线

MD:molding 塑封,

TF: trim / from 切脚、成型

Plate:这个要根据上下文来解释,

Packing :包装

Tape and Reel :编带包装,盖膜跟载带走(这个膜分类也很广,也要根据上下文来做正确解释)TCP: TAPE CARRIER PACKAGE

COF: CHIP ON FILM

PACKAGE SAW =SINGULATION 将QFN产品切割开来

TCP: TAPE CARRIER PACKAGE

COF: CHIP ON FILM!

PACKAGE SAW =SINGULATION

财务专业术语中英文对照表

财务专业术语中英文对照表 英文中文说明 Account Accounting system 会计系统 American Accounting Association 美国会计协会 American Institute of CPAs 美国注册会计师协会 Audit 审计 Balance sheet 资产负债表 Bookkeepking 簿记 Cash flow prospects 现金流量预测 Certificate in Internal Auditing 部审计证书 Certificate in Management Accounting 管理会计证书 Certificate Public Accountant注册会计师 Cost accounting 成本会计 External users 外部使用者 Financial accounting 财务会计 Financial Accounting Standards Board 财务会计准则委员会 Financial forecast 财务预测 Generally accepted accounting principles 公认会计原则 General-purpose information 通用目的信息 Government Accounting Office 政府会计办公室 Income statement 损益表 Institute of Internal Auditors 部审计师协会 Institute of Management Accountants 管理会计师协会 Integrity 整合性 Internal auditing 部审计 Internal control structure 部控制结构 Internal Revenue Service 国收入署 Internal users部使用者 Management accounting 管理会计 Return of investment 投资回报 Return on investment 投资报酬 Securities and Exchange Commission 证券交易委员会

品质名词中英对照

品质名词(中英对照)A ABC analysis ABC 分析 Abnormality 不正常性 Abscissa 横坐标 Absolute deviation 绝对离差 Absolute dispersion 绝对离势 Absolute error 绝对误差 Absolute frequency 绝对次数 Absolute number 绝对数 Absolute reliability 绝对可靠度 Absolute term 绝对项 Absolute value 绝对值 Absolute variation 绝对变异 Abstract number 抽象数 Abstract unit 抽象单位 Accelerated factor 加速系数,加速因子Accelerated life test 加速寿命试验

Accelerated test 加速试验 Acceleration 加速度 Acceptable limit 允收界限 Acceptable process 允收制程水平Acceptable quality 允收品质 Acceptable quality level (AQL) 允收质量水平Acceptable reliability level (ARL) 允收可靠度水平Acceptability 允收性 Acceptability criterion 允收标准 Acceptance 允收,验收 Acceptance, probability of 允收机率Acceptance, region of 允收区域 Acceptance and rejection criteria 允收与拒收准则Acceptance boundary 允收界限 Acceptance coefficient 允收系数 Acceptance control chart 验收管制图Acceptance cost 验收费用 Acceptance criteria 允收准则Acceptance error 允收误差

(完整版)放疗专业术语中英文对照表

Chemntherapeutic agents 化学疗法 thus xue fiao fa) Chemothcrjipy 化学疔,Z (hija xue Aaa fa) hns the goal of killing or stopping rhe development nf rapidly dividing cells. Examples are Cisplatin, Carboplat in, Bkomycin I 博来霉嗪1 (ftd l3f Sg S-fltinrncjrao 5 氟尿瞪喘(ft/ HiAO m dfinfl), mrthotrExate 甲員媒时{Jia 的 did /ioffk Vincristine fifr chun xJTj/a^, Vinblastine 衣祚碱 (chang chun ;ian}. Taxol and Tawiuvirtn .木戟题(SSfi ben 阳ng 钠* Since the sanK nicchanism (hat kilh malignant cdl or blocks de vela pment of a malignant cell cm have similar effects on a nnrnuil, rap idly dividing celt any of LhcNt agents ciin hax r c btid side clfccts. Some terms of cancer ircitLcd with chemcthera 卩、may cjus,e ihe cancer (o "disappear

品质术语中英文对照

常用中英文对照 MSA:测量系统分析(MEASUREMENT SYSTEM ANALYSIS) AQL:允收水准(ACCEPTABLE QUALITY LEVER) ISO:国际标准化组织(INTERNATIONAL ORGANIZATION FOR STANGDARDIZA TION)FMEA:失效模式和影响分析(FAILURE MODE AND EFFECT ANALYSIS) FPY:首次合格率(FIRST PASS YIELD) JIT:及时率(JUST IN TIME) QC:品质控制 QA:品质保证 QE:品质工程 IQC:来料品质控制(INCOMING QUALITY CONTROL) IPQC:制程品质控制(INPROCESS ----------------------------) FQC:最终品质控制(FINAL -------------------------------------) LQC:生产线品质控制(LINE --------------------------------------) SQA:供应商品质保证(SUPPLIER QUALITY CONTROL) DCC:文控中心(DOCUMENT CONTROL CENTER) PQA:制程品质保证(PROCESS QUALITY ASSYRANCE) FQA:最终-------------(FINAL ---------------------------------------) DAS:缺陷分析系统(DEFECTS ANALYSIS SYSTEM) FA:坏品分析(FAILURE ANALYSIS) CPI:连续工序改善(CONTINUOUS PROCESS IMPROVEMENT) CS:客户服务(CUSTEMER SERVICE) TRAINING:培训 COQ:品质成本 COIF:内部缺陷成本 PPM:百万分之-----(PART PER MILLION) MSDS:材料安全资料卡(MATERIAL SAFETY DATA SHEET) MRB:物料/材料审查委员会(MATERIALS REVIEW BOARD) MQC:制造质量控制(MANUFACTURING QUALITY CONTORL) QAP:质量保证计划(QUALITY ASSURANCE PLANNING) BOM:料件结构表(BILLS OF MATERIAL) QM:品质手册(QUALITY MANUAL) QP:品质程序(QUALITY PROCEDURE/PEOGRAM) WI:作业指导书(SOP/SIP------WORK INSTRUCTION) FR:表单/表格(FORM) SOP:标准操作程序(STANDARD OPERATING PROCEDURE) SIP:标准检验程序(STANDARD INSPECTION PROCEDURE) FIFO:先进先出(FIRST IN FIRST OUT) CO:公司(COMPANY) LID:有限的(LIMITED) CC:复写的副本;抄送(CARBON COPY)

三维动画术语中英文对照

三维动画术语中英文对照 A Absolute Mode Transform Type-in对坐标方式变换输入Absolute/Relative Snap Toggle Mode绝对/相对捕捉开关模式Accelerated Montage加速蒙太奇 Activate All Maps激活所有贴图 Activate Grid Object激活网格对象;激活网格物体Activate Grid激活栅格;激活网格 Activate Home Grid激活主栅格;激活主网格 Activate活动;激活 Active Shade Floater自动着色面板;交互渲染浮动窗口Active Shade Viewport自动着色视图 Active Shade(Scanline)着色(扫描线) Active Shade实时渲染视图;着色;自动着色 Actual Sound真实声音 Adaptive Cubic立方适配 Adaptive Degradation Toggle降级显示开关 Adaptive Degradation自动降级 Adaptive Linear线性适配 Adaptive Path Steps适配路径步幅;路径步幅自动适配Adaptive Path自适应路径 Adaptive Perspective Grid Toggle适配透视网格开关

Adaptive适配;自动适配;自适应 Add as Proxy加为替身 Add Cross Section增加交叉选择 Additive Color加色法 Adopt the File's Unit Scale采用文件单位尺度 Adv. Lighting高级照明 Advanced Surface Approximation高级表面近似;高级表面精度控制Advanced Surface Approx高级表面近似;高级表面精度控制Affect Diffuse Toggle影响漫反射开关 Affect Neighbors影响相邻 Affect Region影响区域 Affect Specular Toggle影响镜面反射开关 AI Export输出Adobe Illustrator(*.AI)文件 AI Import输入Adobe Illustrator(*.AI)文件 Align Camera对齐摄像机 Align Grid to View对齐网格到视图 Align Normals对齐法线 Align Orientation对齐方向 Align Position对齐位臵(相对当前坐标系) Align Selection对齐选择 Align to Cursor对齐到指针 Align对齐 All Class ID全部类别 All Commands所有命令

专业术语中英文对照表

语文课程与教学论 名词术语中英文对照表 the Chinese Course and Teaching and Learning Theory in Chinese and English Teaching materials editing teaching materials /Chinese Teaching Materials /edit teaching materials /Uniformed Chinese Teaching Materials /Experimental Teaching Materials /Mother Tongue Teaching Materials /Teaching Materials of the New Course *textbook *reading book *teaching reference book *exercises book *studying plan Technology /Educational Technology /Modern Educational Technology /Educational Technology in Chinese Teaching /multi-media technology /net technology /cloud serving technology *white board *net meeting *chat room *blog Teaching Basic Theory of the Teaching teaching aim teaching task teaching objective teaching model teaching tactics teaching principle teaching program teaching reform teaching case Courseware teaching resources teaching experiment /mother tongue teaching A Term List of 1. 教材( JC ) 教材编写 /语文教材 /编写教材 / 统编教材 /实验教材 /母语教材 /新课程教材 * 课本 * 读本 * 教学参考书(教参) * 练习册 *学案 2. 技术( JS ) / 教育技术 /现代 教育技术 /语文 教育技术 /多媒 体技术 / 网络 技术 /云服务技 术 * 白板 *网 络会议 *聊天室 * 博克 3. 教学 (JX ) 教学基本理论 教学目的 教学 任务 教学目标 教学模式 教学 策略 教学原则 教学大纲 教学 改革 教学案例 教学课件 教学 资源 教学实验 /母语教学

暖通专业术语中英文对照词汇表

1. 热力学thermodynamics 2. 热源heat source 3. 热力学平衡状态thermodynamic equilibrium state 4. 稳态steady state 5. 非稳态unsteady state 6. 可逆过程reversible process 7. 不可逆过程irreversible process 8. 热力学第一定律first law of thermodynamics 9. 热力学第二定律second law of thermodynamics 10. 热力学第三定律third law of thermodynamics 11. 内能internal energy 12. 焓enthalpy 13. 熵entropy 14. 热量heat 15. 理想气体ideal gas 16. 相变change of phase 17. 汽化vaporization 18. 蒸发evaporation 19. 沸腾boiling 20. 沸点boiling point 21. 凝结condensation 22. 饱和状态saturation state

23. 饱和温度saturation temperature 24. 饱和压力saturation pressure 25. 饱和液体saturation liquid 26. 饱和蒸汽saturation vapor 27. 过饱和supersaturation 28. 湿蒸汽wet vapor 29. 过热superheat 30. 过热蒸汽superheated vapor 31. 过热度degree of superheat 32. 过冷subcooling 33. 过冷液体subcooled liquid 34. 气-液混合物liquid-vapor mixture 35. 干度quality 36. 冷凝点condensation point 37. 冷凝液condensate 38. 共沸混合物azeotropic mixture 39. 共沸性azeotropy 40. 共沸点azeotropic point 41. 凝固solidification 42. 熔化fusion 43. 熔点melting point 44. 升华sublimation

各种专业名称英语词汇中英文对照表

各种专业名称英语词汇中英文对照表

————————————————————————————————作者: ————————————————————————————————日期: ?

各种专业名称英语词汇中英文对照表 哲学Philosophy 马克思主义哲学Philosophy of Marxism 中国哲学ChinesePhilosophy 外国哲学ForeignPhilosophies ?逻辑学Logic?伦理学Ethics 美学Aesthetics 宗教学Science of Religion?科学技术哲学Philosophy of Science andTechnology?经济学Economics?理论经济学Theoretical Economics ?政治经济学PoliticalEconomy ?经济思想史History ofEconomic Thought ?经济史History of Economic 西方经济学WesternEconomics?世界经济World Economics ?人口、资源与环境经济学Population,Resources andEnvironmentalEconomics 应用经济学Applied Economics 国民经济学National Economics?区域经济学Regional Economics ?财政学(含税收学)Public Finance (includingTaxation) 金融学(含保险学) Finance (including Insurance)?产业经济学Industrial Economics ?国际贸易学International Trade 劳动经济学Labor Economics ?统计学Statistics ?数量经济学Quantita tive Economics ?中文学科、专业名称英文学科、专业名称 国防经济学National Defense Economics?法学Law 法学Science of Law ?法学理论Jurisprudence?法律史Legal History ?宪法学与行政法学Constitutional Law and Administrative Law 刑法学Criminal Jurisprudence 民商法学(含劳动法学、社会保障法学)Civil Law and Commercial Law (i ncluding Science of LabourLawand Science ofSocial Sec urityLaw)?诉讼法学Science of ProcedureLaws ?经济法学Sc ience ofEconomic Law ?环境与资源保护法学Science ofEnvironment andNatural Resources Protection Law 国际法学(含国际公法学、国际私法学、国际经济法学、)Internationallaw (including International Public law, International PrivateLaw a

电影专业术语-中英文对照

电影专业术语中英文对照 森森 来自: 森森2011-12-06 14:20:07 documentary (film) 记录片,文献片 filmdom 电影界 literary film 文艺片 musicals 音乐片 comedy 喜剧片 tragedy 悲剧片 dracula movie 恐怖片 sowordsmen film 武侠片 detective film 侦探片 ethical film 伦理片 affectional film 爱情片 erotic film 黄色片 western movies 西部片 film d’avant-garde 前卫片 serial 系列片 trailer 预告片 cartoon (film) 卡通片,动画片 footage 影片长度 full-length film, feature film 长片 short(film) 短片 colour film 彩色片(美作:color film)

silent film 默片,无声片 dubbed film 配音复制的影片,译制片 silent cinema, silent films 无声电影 sound motion picture, talkie 有声电影 cinemascope, CinemaScope 西涅玛斯科普型立体声宽银幕电影,变形镜头式宽银幕电影cinerama, Cinerama 西涅拉玛型立体声宽银幕电影,全景电影 title 片名 original version 原著 dialogue 对白 subtitles, subtitling 字幕 credits, credit titles 对原作者及其他有贡献者的谢启和姓名 telefilm 电视片 演员actors cast 阵容 film star, movie star 电影明星 star, lead 主角 double, stand-in 替身演员 stunt man 特技替身演员 extra, walker-on 临时演员 character actor 性格演员 regular player 基本演员 extra 特别客串

常用品质术语中英文对照表

Gredit Account货方科目 Exch Rate兑换率ASAP As soon As possible尽快 FTP File Transfer Protocol文件传递(输)协议CD-ROM compact disc read -only memory只读光盘存储器UV Ultraviolet紫外线 EC Engineering change工程变更 EV Equipment Variance量具变异 AV Appraiser variance作业者变异PV Part variation局部变异 Characteristic Diagram特性要因图 Stratification层别法 Check list查检表 Histogram直方图 Scatter Diagram散布图 Pare to Diagram柏拉图 Control Chart管制图 CEO Chief Executive Officer执行总裁 VIP Very Important Person贵宾 ERP Enterprice Resource Planning企业资源规划MRP I Material Requirement Planning物料需求规划MRP II Maufacturing Resource Planning制造资源规划OA Operation Analysis作业者变异 Gap Analysis差异分析PC Product Control生产分析 MC Material control物料管控 MTS make to--Stock存货式生产ATO assemble-to-order接单式装配ATM Applicotion Implementation应用系统导入方法 Unorder Receipt无订单的收料 Shop floor车间、职场 Shop floor control厂地控制、车间管理Shop floor production control工厂生产管理 Write书写 Observed观察 Read阅读 Listen听 UCL Upper Control Limit管制上限 LCL Lower Control Limit管制下限 Critical defect严重缺点 Minor defect次要缺点 Packing List出货明细 PCB Printed Circuit Board印刷电路板 PCBA Printed Circuit Board Assemblies 印刷电路板总成常用品质术语中英文对照表

动画术语中英文对照

动画术语--中英文对照 ACTION.......................................................................... ...............动作 ANIMATOR.............................................................原画者,动画设计 ASSISTANT.................................................................... ...........动画者 ANTIC............................................................................. .......预备动作 AIR BRUSHING............................................................................喷效 ANGLE........................................................................... ................角度 ANIMATED ZOOM...................................................画面扩大或缩小 ANIMATION FILM...................................................................动画片 ANIMATION COMPUTER...................................电脑控制动画摄影 ATMOSPHERE SKETCH .....................................................气氛草图 B.P.(BOT PEGS) ...................................................................下定位 BG(BACKGROUND) ................................................... ................背景 BLURS............................................................................ ...............模糊 BLK(BLINK) ................................................................. ...............眨眼 BRK DN(B.D.)(BREAK-DOWN) ...........................................中割 BG LAYOUT......................................................................背景设计稿 BACKGROUND KEYS ........................................................背景样本 BACKGROUND HOOKUP...................................................衔接背景 BACKGROUND PAN...............................................................长背景 6

工程专业术语中英文对照

工程专业术语中英文对照

CDB工程专业术语中英文对照(二) 添加时间:2013-4-24 节流截止放空阀 2011-08-10 16:50:46| 分类:English | 标签:|字号大中小订阅 六、仪表及自动控制 通用描述 COMMON DESCRIPTION 设备名称Equipment Name 缩写 ABB. 分散控制系统Distributed Control System DCS 安全仪表系统Safety Instrumentation System SIS 紧急切断系统Emergency Shutdown system ESD 火气系统Fire and Gas system F&G 监视控制和数据采集系统 Supervisory Control and Data Acquisition SCADA 可编程逻辑控制器Programmed Logic Controller PLC 远程终端单元Remote Terminal Unit RTU 站控系统Station Control System SCS 中央控制室Central Control Room CCR 操作间Operation room 机柜间Equipment room/ Cabinet room 大屏显示系统Large Screen Display system LSD 流量类仪表 FLOW INSTRUMENT 设备名称Equipment Name 孔板Orifice Plate 文丘里流量计Venturi Flowmeter 均速管流量计Averaging Pitot Tube 阀式孔板节流装置 Orifice Plate in quick change fitting 涡轮流量计Turbine Flowmeter

制药行业术语中英文对照

术语表 Acceptance Criteria–接受标准:接受测试结果的数字限度、范围或其他合适的量度标准。Active Pharmaceutical Ingredient(API)(or Drug Substance)-活性要用成分(原料药)旨在用于药品制造中的任何一种物质或物质的混合物,而且在用于制药时,成为药品的一种活性成分。此种物质在疾病的诊断,治疗,症状缓解,处理或疾病的预防中有药理活性或其他直接作用,或者能影响机体的功能和结构。 API Starting Material–原料药的起始物料:用在原料药生产中的,以主要结构单元被并入该原料药的原料、中间体或原料药。原料药的起始物料可能是在市场上有售,能够根据合同或商业协议从一个或多个供应商处购得,或者自己生产。原料药的起始物料通常有特定的化学特性和结构。 Batch(or Lot)-批:有一个或一系列工艺过程生产的一定数量的物料,因此在规定的限度内是均一的。在连续生产中,一批可能对应与生产的某以特定部分。其批量可规定为一个固定数量,或在固定时间间隔内生产的数量。 Batch Number(or Lot Number)-批号用于标识一批的一个数字、字母和/或符号的唯一组合,从中可确定生产和销售的历史。 Bioburden–生物负载:可能存在与原料、原料药的起始物料、中间体或原料药中的微生物的水平和种类(例如,治病的或不治病的)。生物负载不应当当作污染,除非含量超标,或者测得治病生物。 Calibration–校验:证明某个仪器或装置在一适当的量程范围内测得的结果与一参照物,或可追溯的标准相比在规定限度内。 Computer System–计算机系统:设计安装用于执行某一项或一组功能的一组硬件元件和关联的软件。 Computerized System–计算机化系统与计算机系统整合的一个工艺或操作。Contamination–污染:在生产、取样、包装或重新包装、贮存或运输过程中,具化学或微生物性质的杂质或外来物质进入或沾染原料、中间体或原料药。 Contract Manufacturer–协议制造商:代表原制造商进行部分制造的制造商。 Critical–决定性的:用来描述为了确保原料药符合规格标准,必须控制在预定范围内的工艺步骤、工艺条件、测试要求或其他有关参数或项目。 Cross-Contamination–交叉污染:一种物料或产品对另一种物料或产品的污染。 Deviation–偏差:对批准的指令或规定的标准的偏离。 Drug(Medicinal)Product–药品:经最后包装准备销售的制剂(参见Q1A) Drug Substance–药物见原料药 Expiry Date(or Expiration Date)-有效期:原料药容器/标签上注明的日期,在此规定时间内,该原料药在规定条件下贮存时,仍符合规格标准,超过这以期限则不应当使用。 Impurity–杂质:存在与中间体或原料药中,任何不希望得到的成分。 Impurity Profile–杂质概况:对存在于一种原料药中的已知和未知杂质的描述。 In-Process Control(or Process Control)-中间控制:生产过程中为监测,在必要时调节工艺和/或保证中间体或原料药符合其规格而进行的检查。 Intermediate–中间体:原料药工艺步骤中生产的、必须经过进一步分子变化或精制才能成为原料药的一种物料。中间体可以分离或不分离。 Manufacture–制造:物料的接收、原料药的生产、包装、重新包装、贴签、重新贴签、质量控制、放行、贮存和分发以及相关控制的所有操作。 Material–物料:原料(起始物料,试剂,溶剂),工艺辅助用品,中间体,原料药和包装及贴签材料的统称。

品质管理名词及英文缩写

. . 品质管理名词(中英文对照) QE=品质工程师(Quality Engineer ) MSA: Measurement System Analysis 量测系统分析 LCL: Lower Control limit 管制下限 Control plan 管制计划 Correction 纠正 Cost down 降低成本 CS: customer Sevice 客户中心 Data 数据 Data Collection 数据收集 Description 描述 Device 装置 Digital 数字 Do 执行 DOE: Design of Experiments 实验设计 Environmental 环境 Equipment 设备 FMEA: Failure Mode and Effect analysis 失效模式与效果分析 FA: Failure Analysis 坏品分析 FQA: Final Quality Assurance 最终品质保证 FQC: Final Quality control 最终品质控制 Gauge system 量测系统 Grade 等级 Inductance 电感 Improvement 改善 Inspection 检验 IPQC: In Process Quality Control 制程品质控制 IQC: Incoming Quality Control 来料品质控制 ISO: International Organization for Standardization 国际标准组织 LQC: Line Quality Control 生产线品质控制 LSL: Lower Size Limit 规格下限 Materials 物料 Measurement 量测 Occurrence 发生率 Operation Instruction 作业指导书 Organization 组织 Parameter 参数 Parts 零件 Pulse 脉冲 Policy 方针 Procedure 流程 Process 过程 Product 产品 Production 生产 Program 方案 Projects 项目 QA: Quality Assurance 品质保证 QC: Quality Control 品质控制 QE: Quality Engineering 品质工程 QFD: Quality Function Design 品质机能展开 Quality 质量 Quality manual 品质手册 Quality policy 品质政策 Range 全距 Record 记录 Reflow 回流 Reject 拒收 Repair 返修 Repeatability 再现性 Reproducibility 再生性 Requirement 要求 Residual 误差 Response 响应 Responsibilities 职责 Review 评审 Rework 返工 Rolled yield 直通率 sample 抽样,样本 Scrap 报废 SOP: Standard Operation Procedure 标准作业书 SPC: Statistical Process Control 统计制程管制 Specification 规格 SQA: Source(Supplier) Quality Assurance 供应商品质保证 Taguchi-method 田口方法 TQC: Total Quality Control 全面品质控制 TQM: Total Quality Management 全面品质管理 Traceability 追溯 UCL: Upper Control Limit 管制上限 USL: Upper Size Limit 规格上限 Validation 确认 Variable 计量值 Verification 验证 Version 版本 QCC Quality Control Circle 品质圈/QC 小组 PDCA Plan Do Check Action 计划 执行 检查 总结

建筑术语中英文对照

建筑术语中英文对照 建筑 build; architecture; construct; architectural; architectural & industrial ceramics 建筑安装工程量 construction work quantity 建筑板材 building board 建筑材料表 list of building materials 建筑材料检验 building material testing 建筑材料行 building material dealer 建筑材料运输列车 construction train 建筑草图 architectural sketch 建筑朝向 building orientation 建筑成本预算 construction cost estimate 建筑承包商 building contractor 建筑尺度 architectural scale 建筑处理 architectural treatment 建筑创作 architectural creation 建筑大五金 architectural metalwork 建筑大样 architectural detail 建筑单元 building unit 建筑费 construction cost 建筑风格 architectural style 建筑辅助系统 building subsystem 建筑钢 construction(al) steel 建筑钢板 building sheet 建筑高度 building height; height of building 建筑高度分区 building height zoning; height zoning 建筑工程升降机 builder's lift 建筑工地选择 siting

高分子专业术语中英文对照表

高分子专业术语中英文对照表

加工processing 反应性加工reactive processing 等离子体加工plasma processing 加工性processability 熔体流动指数melt [flow] index 门尼粘度Mooney index 塑化plasticizing 增塑作用plasticization 内增塑作用internal plasticization 外增塑作用external plasticization 增塑溶胶plastisol 增强reinforcing 增容作用compatibilization 相容性compatibility 相溶性intermiscibility 生物相容性biocompatibility 血液相容性blood compatibility 组织相容性tissue compatibility 混炼milling, mixing 素炼mastication 塑炼plastication 过炼dead milled 橡胶配合rubber compounding 共混blend 捏和kneading 冷轧cold rolling 压延性calenderability 压延calendering 埋置embedding 压片preforming 模塑molding 模压成型compression molding 压缩成型compression forming 冲压模塑impact moulding, shock moulding 叠模压塑stack moulding 复合成型composite molding 注射成型injection molding 注塑压缩成型injection compression molding 射流注塑jet molding 无流道冷料注塑runnerless injection molding 共注塑coinjection molding 气辅注塑gas aided injection molding 注塑焊接injection welding 传递成型transfer molding

项目工程专业术语中英文对照

CDB工程专业术语中英文对照(二) 添加时间:2013-4-24 节流截止放空阀 2011-08-10 16:50:46| 分类:English | 标签:|字号大中小订阅 六、仪表及自动控制 通用描述 COMMON DESCRIPTION 设备名称Equipment Name 缩写 ABB. 分散控制系统Distributed Control System DCS 安全仪表系统Safety Instrumentation System SIS 紧急切断系统Emergency Shutdown system ESD 火气系统Fire and Gas system F&G 监视控制和数据采集系统 Supervisory Control and Data Acquisition SCADA 可编程逻辑控制器Programmed Logic Controller PLC 远程终端单元Remote Terminal Unit RTU 站控系统Station Control System SCS 中央控制室Central Control Room CCR 操作间Operation room 机柜间Equipment room/ Cabinet room 大屏显示系统Large Screen Display system LSD 流量类仪表 FLOW INSTRUMENT 设备名称Equipment Name 孔板Orifice Plate 文丘里流量计Venturi Flowmeter 均速管流量计Averaging Pitot Tube 阀式孔板节流装置 Orifice Plate in quick change fitting 涡轮流量计Turbine Flowmeter

相关文档