文档库 最新最全的文档下载
当前位置:文档库 › Memristive devices for computing

Memristive devices for computing

T

he search for new computing technologies is driven by the con-tinuing demand for improved computing performance, but to be of use a new technology must be scalable and capable. Memristor or memristive nanodevices seem to fulfil these require-ments — they can be scaled down to less than 10 nm and offer fast, non-volatile, low-energy electrical switching. Memristors are two-terminal ‘memory resistors’ that retain internal resistance state according to the history of applied voltage and current. They are sim-ple passive circuit elements, but their function cannot be replicated by any combination of fundamental resistors, capacitors and induc-tors 1,2. Moreover, their microscopically modified internal state is easily measured as an external two-terminal resistance. Memristors were originally defined as components that linked charge and mag-netic flux 1, but they can be more usefully described as devices with a pinched-hysteresis loop whose size is frequency dependent 3. The natural computing application for such devices is resistive random access memory (ReRAM), but their dynamical nonlinear switching also suggests that they could be used to develop alternative computer logic architectures.

Memristive switching behaviour can be traced back two centu-ries 4, but its theoretical inception came only 40 years ago 1,2 and the link between theory and experiment was only established in 20085. In the 1960s, advances in thin-film technology allowed very high electric fields in ultrathin metal/oxide/metal films to be obtained and mem-ristive behaviour was pronounced enough to be observed 6,7. However, after a decade of intensive study 7, research efforts into these devices faded, which is perhaps not surprising given the rise of silicon inte-grated circuit technology. Interest remained low until the late 1990s, when a gradual reduction in the progress of silicon technologies led to renewed interest in alternative switching devices.

The successful commercialization of any application demands a robust and predictive understanding of its underlying mechanisms. For memristors, understanding their electrical switching has been limited by the chemical, stochastic and localized nature of the effects. However, in the past decade advances in the growth and characteri-zation of nanoscale materials has led to significant progress in the understanding and optimization of microscopic memristive switch-ing. These developments have recently been covered by a variety of

Memristive devices for computing

J. Joshua Yang 1, Dmitri B. Strukov 2 and Duncan R. Stewart 3

Memristive devices a re electrica l resista nce switches tha t ca n reta in a sta te of interna l resista nce ba sed on the history of applied voltage and current. These devices can store and process information, and offer several key performance characteristics that exceed conventional integrated circuit technology. An important class of memristive devices are two-terminal resistance switches based on ionic motion, which are built from a simple conductor/insulator/conductor thin-film stack. These devices were originally conceived in the late 1960s and recent progress has led to fast, low-energy, high-endurance devices that can be scaled down to less than 10 n m and stacked in three dimensions. However, the underlying device mechanisms remain unclear, which is a significant barrier to their widespread application. Here, we review recent progress in the development and understanding of memristive devices. We also examine the performance requirements for computing with memristive devices and detail how the outstanding challenges could be met.

excellent review articles 8–19. Here, we focus on the chemical and phys-ical mechanisms of memristive devices, and try to identify the key issues that impede the commercialization of memristors as computer memory and logic.

Memristive materials and nanoscale devices

Memristive devices can be classified based on switching mechanism, switching phenomena or switching materials. Here we loosely group all ionic switching devices into two categories — anion devices and cation devices — to simplify our discussion of their mechanisms.

Anion devices. The switching materials of anion-based devices include oxide insulators, such as transition metal oxides, complex oxides, large bandgap dielectrics, and some non-oxide insulators, such as nitrides and chalcogenides. In most metal oxides, for exam-ple, the oxygen anion (or equivalently the positive-charged oxygen vacancy) is thought to be the mobile species. Anion motion then leads to valence changes of the metal (cations), which causes the resistance change of the metal oxide material. Therefore, these devices are also called valence change memories 9. Resistance switching can originate from a variety of defects that alter electronic transport rather than a specific electronic structure of insulating materials, and consequently almost all insulating oxides exhibit resistance switching behaviour. In principle, it should also be possible to observe this switching in most other insulating compound materials, such as halides, borides, carbides and phosphides. The key to obtaining controllable switching seems to be engineering structural and/or chemical defects into the material either through fabrication processes or electrical operations.Since the early demonstration of resistance switching phenom-enon in oxides around 50 years ago 6,7, oxides have been extensively studied as anion-based switching materials 20–26, including MgO, TiO x , ZrO x , HfO x , VO x , NbO x , TaO x , CrO x , MoO x , WO x , MnO x , FeO x , CoO x , NiO x , CuO x , ZnO x , AlO x , GaO x , SiO x , SiO x N y , GeO x , SnO 2, BiO x , SbO x , oxides of rare-earth metals including Y , Ce, Sm, Gd, Eu, Pr, Er, Dy and Nd, and perovskites (SrTiO 3, Ba 0.7Sr 0.3TiO 3, SrZrO 3, BiFeO 3, Pr 0.7Ca 0.3MnO 3, La 0.33Sr 0.67FeO 3, Pr y La 0.625?y Ca 0.375MnO 3). Among the even larger class of non-oxide insulators, a few examples of switch-ing materials have been demonstrated in nitrides (for example, AlN),

1

Hewlett-Packard Laboratories, Palo Alto, California 94304, USA, 2Department of Electrical and Computer Engineering, University of California, Santa Barbara, Santa Barbara, California 93106, USA, 3Steacie Laboratories, National Research Council of Canada, Ottawa K1A 0R6, Canada. e-mail: jianhuay@https://www.wendangku.net/doc/ba10569642.html, ; strukov@https://www.wendangku.net/doc/ba10569642.html, ; duncan.stewart@nrc.ca

tellurides (for example, ZnTe) and selenides (for example, ZnSe). References and some detailed information of these switching materi-als are given in Supplementary Table S1.

The switching mode can be bipolar or unipolar (also called nonpo-lar when devices can be operated with both voltage polarities). Bipolar switching requires opposite voltage polarities to be used for switching ON (set) and OFF (reset) respectively, whereas nonpolar and unipo-lar switching has no such requirement. Most of the above materials can switch in both nonpolar and bipolar modes27,28 depending princi-pally on the structure of the device, and in particular the asymmetry obtained through device fabrication or electrical operations. Switching mechanisms.Caution needs to be taken when identifying the exact switching material and mechanism. Many chemical interac-tions29,30 are possible inside a device under a high electric field and Joule heating, and the entire material stack should be taken into con-sideration. For example, the electrode31 or even the substrate mate-rial32,33 could be responsible for the observed switching phenomena. In most cases, a new material phase is formed in the insulating mate-rial during the initial electroforming processes11,34–36 or device fab-rication, and this new phase accounts for the switching. Therefore, identifying the actual switching material is a challenging but crucial first step towards understanding the switching mechanism. The spe-cific questions that need to be addressed are: what are the mobile spe-cies, and where (location), why (driving force) and how (microscopic picture) are they moving under electrical excitations?

Insulating oxides can also be viewed as semiconductors with native dopants, resulting from oxygen deficiency (n-type, for exam-ple, TiO2?x; refs 37,38) or oxygen excess (p-type, for example, Co1?x O; refs 39,40). The thermally and/or electrically activated motion of these native dopants results in chemical changes in the oxides, such as valence state change, leading to resistance switching. The mobil-ity and concentration of oxygen vacancies or cation interstitials are sufficiently high in the temperature range in question8,9,41, especially in transition metal oxides. Therefore, it is generally believed that they are the mobile species responsible for switching, and this seems to be supported by experimental evidence22,38,42–44. However, more direct evidence would still be needed to clarify the identity of the mobile species in the representative switching oxides. Experiments using isotope tracers should be particularly useful45. In addition to the native dopants, other impurities, such as hydrogen, have been suggested to play a role in switching46,47, and this seems likely in cation devices48.

The switching region in a micrometre-size device or larger is typi-cally a localized conduction channel tens or hundreds of nanome-tres in diameter38,44. Switches with a laterally (that is, parallel to the electrode surface) uniform switching region typically have a shorter retention and lower switching speed. The switching channel is usu-ally created in the electroforming process11,35,36, in which the virgin device is preconditioned to a switchable state by applying a higher-than-usual voltage or current. For a symmetric bipolar device, the switching polarity is usually determined by the voltage polarity of this electroforming process37,49,50–52. In contrast, for an asymmetric bipo-lar device, the switching polarity is often governed by the asymmetry of the device stack rather than the polarity of electroforming35. Even interface switching (as opposed to bulk switching) is localized to a small area of the interface37,50,53.

The important role of the interface in the switching is reflected by a commonly observed rectifying current–voltage (I–V) relation in the high-resistance state (OFF state). In most cases, one interface of a bipolar device is essentially ohmic with a large density of dopants and the other interface is more resistive with fewer dopants. As a volt-age divider, most of the applied voltage drops on the resistive inter-face to force switching. Only a small fraction of the applied voltage is dropped on the highly conductive ohmic-like interface, which always remains at low resistance. The rectification orientation of the I–V curves could be used to identify the interface that blocks the current and this interface is usually the switching interface37. However, it is not uncommon that two opposite switching polarities coexist in the same device54,55, which could be rationalized by considering that both interfaces might be switchable under a certain circumstance and they switch to the opposite resistance states because they always see the opposite electric fields56,57. A family of nanodevices have been dem-onstrated in simple metal/oxide/metal structures with rich switching behaviours by tailoring the switching properties of the two interfaces. Nonpolar devices can switch either at the interface region50–52 or in the interior of the switching film58.

An electrical bias generates two main effects in a switching mate-rial: an electric field and Joule heating. Joule heating is expected given the high current densities (of the order of >106 A cm?2) typi-cally required for switching in anion-based memristors and has been confirmed in both bipolar and nonpolar devices49,51,59–63. E lectric field and Joule heating generally coexist in all memristive switching, although their relative importance varies depending on the device stack, materials, electrical operation history and more. This leads to four main classes of switching commonly observed in oxide-based switches: bipolar nonlinear, bipolar linear, nonpolar bistable and nonpolar threshold switching. The four classes are shown schemati-cally in Fig. 1a–d. In all cases there are four main driving forces that work independently or together to influence atomic motion or rear-rangement: electric potential gradient (field), electron kinetic energy, species concentration gradient and temperature gradient (Fig. 1e–h). The microscopic picture of how exactly these factors drive the mobile species to actuate a particular type of switching are still under debate. Therefore, experiments that could visualize the switching in real time and at nanoscale resolution, and with chemical and/or structural information would be extremely valuable, such as those using in situ transmission electron microscopy38,64,65 and in situ scanning transmis-sion X-ray microscopy44.

A high electric field gradient can move charged dopants, as illus-trated in Fig. 1e. High-speed electrons under a high electric field can also move atoms by momentum transfer or ‘electron wind’ (Fig. 1f), which has been demonstrated to reversibly switch the resistance of a Au nanowire66. Both electric field and electron kinetic energy are polarity dependent and can cause bipolar switching. The role of Joule heating is more complicated and not yet fully understood. High temperatures from Joule heating significantly enhance drift (Fig. 1e) and diffusion (Fig. 1g). Moreover, the high temperature is local-ized around the conduction channel, which generates a high tem-perature gradient laterally. Similar to an electric potential gradient or an element concentration gradient, a high temperature gradient itself might also induce a net mass transfer of atoms67 (Fig. 1h). Drift and electromigration move dopants vertically along the conduction channel, while the temperature gradient might contribute to lateral motion of dopants. Diffusion can happen in both ways, because element concentration gradients exist both vertically (within the channel) and laterally (into and out of the channel). Finally, for each microscopic device state with a particular arrangement of impurities, defects or dopants,the I–V characteristic is determined by the avail-able electron conduction mechanisms, as summarized in Fig. 1i for n-type materials. This I–V behaviour is the key device property for applications and also provides crucial information to decipher the switching mechanism.

Generally speaking, the switching tends to be bipolar if the elec-tric field plays a significant role and nonpolar if thermal effects are dominant. Figure 1a presents schematically a device driven by an electric field. The growth and retraction of the conduction channel vertically under the electric field (drift or electromigration) in the interface region results in the typical switching loop (inset in Fig. 1a), where the rectifying I–V curve in the OFF state and the symmetric nonlinear I–V curve in the ON state reflect a Schottky-like barrier and a residual tunnel barrier in the OFF and ON states, respectively.

REVIEW ARTICLE NATURE NANOTECHNOLOGY DOI: 10.1038/NNANO.2012.240

Figure 1b shows another type of bipolar switching, which has a linear I –V curve in both the ON and OFF states. In this class of switching, there is a conduction channel bridging the top and bottom electrodes all the time in the entire switching cycle. The resistance change origi-nates from the change in composition, volume or geometry inside the channel, which is a result of the combined effect of the electric-field-induced vertical drift and the thermally enhanced lateral diffusion 68.An increasing role of the thermal effect leads to nonpolar switching (Fig. 1c) where the same voltage polarity can be used to set and reset the device. The physics of nonpolar switching is still controversial. On the one hand, the temperature gradient shown in Fig. 1h might, for example, attract oxygen vacancies to the conduction channel region, switching the device ON. On the other hand, the set switching ini-tially resembles ‘soft breakdown’ of dielectrics under an electric field. It is likely, it is a combined two-step process, where a purely electronic effect (breakdown) leads to a high current and then heat-assisted ionic motion follows 67. The reset switching of the nonpolar device is normally described as a thermal rupture (fuse) of the conduction channel, possibly caused by thermal diffusion driven by concentra-tion gradient and/or reduction of free surface energy of the filament 58 or even a phase-change process induced by heat and/or electric field 69.In contrast, the switching shown in Fig. 1d is the threshold switching, which is essentially a volatile switching with a hyster-esis loop. With increasing current, the insulating device suddenly

becomes metallic at a certain current level accompanying a steep current increase in the I –V plot. However, after reducing the cur-rent level, the device becomes insulating again. Threshold switching has been observed in NiO x when its electrode is thin, and attributed to a spontaneous rupture of filaments at high temperature due to an insufficient heat dissipation 51,70. Threshold switching has been more commonly observed in metal–insulator–transition materials 71, such as VO 2, NbO 2 and Ti 2O 3, where negative differential resistance (NDR) phenomenon is frequently seen. A localized high temperature from Joule heating converts the heated region of a metal/insulator/transi-tion material from insulating to metallic via mechanisms still under debate, giving rise to the abrupt current increase. Reducing the cur-rent decreases the local temperature to below the metal/insulator/transition temperature, recovering the insulating state. Therefore, this type of switching (Fig. 1d) is viewed as a purely thermal effect.

Most switching phenomena are thus a result of both thermal and electric field effects — indeed, a purely electric-field-induced switch-ing is yet to be unambiguously demonstrated in anion-based devices. As the device resistance changes during switching (and with it the voltage and current), even the relative contributions of the coupled thermal and electric field effects are dynamically changing.

Material selection criteria. Joule heating is essentially unavoidable in these devices, and this has a major impact on material selection 72

. To

Figure 1 | The driving forces, electrical characteristics, transport mechanisms of ions and electrons for the switching of anion-based devices. a –d , Simplified schematics of conduction channels (red) in switching matrix materials (blue) in four typical switching devices, where both electric field and Joule heating drive the switching. Switches with a laterally uniform switching region across the entire device area are not included here. The grey arrows indicate the idealized ionic motion. Different from c , the channel in d usually completely disappears in the high-resistance state and may or may not (for example, Mott transition) involve ionic motion. Inset to each schematic shows switching current–voltage loops typical of bipolar

nonlinear switching 37 (a ), bipolar linear switching 68 (b ), nonpolar non-volatile switching 38 (c ) and nonpolar threshold switching 51,71 (d ). e –h , Schematic illustration of the factors that influence oxygen anion motion for drift (electric potential gradient, e ), electromigration (electron kinetic energy, f ), Fick diffusion (concentration gradient, g ), and thermophoresis (temperature gradient, h ). Any of the four factors shown in e –h may contribute with others simultaneously to produce switching of type a –d , which are idealized limiting cases; real-world switching is usually combinations of these. i , Schematic of the possible electron transport paths in the devices. (1) Schottky emission: thermally activated electrons injected over the barrier into the conduction band. (2) Fowler–Nordheim tunnelling: electrons tunnel from the cathode into the conduction band; usually occurs at high electric field. (3) Direct tunnelling: electrons tunnel from cathode to anode directly; only when the oxide is thin enough. When the insulator has localized states (traps) caused by disorder, off-stoichiometry or impurities, trap-assisted transport contributes to additional conduction, including the following steps: (4) tunnelling from cathode to traps; (5) emission from traps to the conduction band (Poole–Frenkel emission); (6) tunnelling from trap to conduction band; (7) trap-to-trap hopping or tunnelling, ranging from Mott hopping between localized states to metallic conduction through extended states (see Fig. 2b); and (8) tunnelling from traps to anode. E F , Fermi energy level; E v , valence band; E c , conduction band; E b , Schottky barrier height; E t , trap barrier height. Panel i reproduced with permission from ref. 16, ? 2012 IEEE.

REVIEW ARTICLE

NATURE NANOTECHNOLOGY DOI: 10.1038/NNANO.2012.240

form a resistance switching system, both conductive and insulating phases are required. Reliable switching requires that these two phases do not react with each other chemically to form a new phase, even at the high temperatures induced by Joule heating. This would require a simple material system, such as those with the phase diagram shown in Fig. 2a. In this system there are only two thermodynamically stable solid-state phases, meaning that they will not react with each other to form a new phase even at high temperature. The MeO n phase is the insulating phase and the (Me) phase is relatively conductive, serv-ing as the conduction channel. What distinguishes any (Me) phase as an ideal conduction channel material is a large solubility of oxy-gen, which allows the channel to readily accommodate mobile spe-cies in and out without losing them after many switching cycles. A very large amount of oxygen can be accommodated in the nanoscale amorphous material (Me), especially under a fast quenching process during switching 68. Changes in the volume, geometry or composition of the channel phase can cause resistance switching.

Figure 2b illustrates a resistance change from metallic to insu-lating in a disordered oxide that can be attributed to changes in conduction-centre concentration and thus hopping transport mechanisms 73. Multilevel cell or even analogue switching behaviour can be readily obtained with such conduction channels. Reliable switching up to a trillion cycles has been demonstrated in TaO x -based devices 74 and endurance close to ten billion switching cycles has been demonstrated using the similar Hf–O system 75. Based on the above criteria 72 of two stable phases and a large solubility, other oxide systems, such as Er–O and Y–O, may be expected to have a similar electrical performance.

Cation devices. Cation-based devices are often called electrochemi-cal metallization memory, conductive bridging RAM, programmable

metallization cells or atomic switches. They were first reported in the 1970s 76 and have been further developed for memory applications since the late 1990s 77. In most cases, the mobile species is believed to be the metallic cation. The physical device stack is similar to that of anion-based devices, being an electrode/insulator/electrode trilayer 9,12,78,79.

A signature of the cation-based devices is to have an electrode made from (or the insulator doped with) an electrochemically active material, such as Cu (ref. 79), Ag (ref. 80) or an alloy of these metals (CuTe, for example)78. Devices without this signature are discussed as anion-based devices in this Review, although their mobile species might be cation interstitials, such as with Ni 1?x O devices. The coun-ter electrode is usually an electrochemically inert metallic material, such as W , Pt, Au, Mo, Co, Cr, Ru, Ir, doped poly-Si, TiW or TaN (ref. 12). The insulating materials have traditionally been electro-lytes 81–84, including sulphides (Ag-doped Ge x S x , As 2S 3, Cu 2S, Zn x Cd 1?x S), iodides (AgI, RbAg 4I 5), selenides (Ag-doped Ge x Se y ), tellurides (Ge x Te y ), ternary chalcogenides (Ge–Sb–Te) and water. Other mate-rials have also been studied for this purpose, such as methylsilesqui-oxane, doped organic semiconductors, amorphous Si, C and even vacuum gaps 85,86. More and more insulating oxides or nitrides have recently been used 87–89, including Ta 2O 5, SiO 2, HfO 2, WO 3, MoO x , ZrO 2, SrTiO 3, TiO 2, CuO x , ZnO, Al 2O 3, GdO x and AlN. References and some detailed information of these switching materials are given in Supplementary Table S2. Changing from traditional electrolytes to oxide materials increases the switching voltages from below 0.3 V to above the operating voltage of CMOS (complementary metal–oxide–semiconductor) devices, making them suitable for some special applications, such as non-volatile switches in large-scale integrated circuits 87. Retention may also be improved using oxides,

which are usually inexpensive and CMOS compatible.

Me

at%

O

T e m p e r a t u r e

a

b

Figure 2 | Material selection criteria for high endurance and repeatability. a , Simplified schematic phase diagram of a metal–oxygen (Me–O) system with only two solid-state phases at low temperature. The MeO n phase is an insulating stoichiometric phase, serving as the matrix material in the switching device illustrated schematically in Fig. 1. The (Me) phase is a metal–oxygen solid solution, serving as the conduction channel. These two phases are thermodynamically stable with each other and do not mix by reaction to form an intermediate phase even at high temperature, for example, locally induced by Joule heating. The metal (Me) has a large solubility of oxygen, readily accommodating mobile oxygen anions or vacancies during switching. b , With increasing oxygen content in the channel region, the electron transport mechanism changes, producing corresponding resistance changes. The schematic depicts a sequence of evolving conduction centre density and conduction mechanisms (top), and corresponding photoemission core-level (CL, middle) and valence-band (VB, bottom) measurements, from a disordered transition metal oxide in the course of oxidation. The red circles are the localization radii. Unoxidized metallic state (i), weakly localized variable range hopping (VRH) regime (ii), more strongly localized nearest-neighbour hopping (NNH, iii), strong localization regime on the verge of percolation breakdown (iv), and final highly

insulating sub-percolation insulating state (v). X is the fraction of conduction centre sites and X cr is the critical fraction at the percolation threshold. E F , Fermi energy. Panel b reproduced with permission from ref. 73, ? 2012 Springer.

REVIEW ARTICLE

NATURE NANOTECHNOLOGY DOI: 10.1038/NNANO.2012.240

Cation switching mechanisms strongly resemble those of anion-based devices, and can also be roughly described by the schematics in Fig. 1. E lectroforming in cation-based devices causes structural changes to the electrolyte and forms nanoscale channels that persist and serve as the template to host the electrochemically active metal atoms for subsequent switching78. Most of the cation-based switches are bipolar, suggesting that the dominating effect is the electric field (Fig. 1a,b). Unipolar switching shown in Fig. 1c has also been dem-onstrated in cation-based devices90,91, substantiating a possible role of Joule heating. The switching mechanism is relatively well understood with some electrolytes12,78,92, such as a Ag/H2O/Pt device93 (Fig. 3): during electroforming or set switching, a positive high voltage on the Ag electrode oxidizes the electrode atoms into Ag+ cations, which are dissolved into the electrolyte. These cations drift across the electro-lyte to the inert counter electrode (Pt, cathode) under a high elec-tric field; then they are electrochemically reduced to Ag atoms at the cathode and deposited on the surface of the cathode electrode, which allows the Ag atoms to grow towards the anode (Ag electrode) as a filament(s), reaching the Ag electrode and switching the device ON. Any of these steps could be the speed-limiting process for the entire switching event, depending on the material systems, in particular the insulating materials. An opposite voltage (positive) is then applied to the electrochemically inert Pt electrode for reset switching, which anodically dissolves the Ag filament (the virtual anode now) start-ing from the interface of the Ag electrode/Ag filament, and switches the device OFF. In this picture, the electric field is the only driving force and Joule heating is negligible given the small current usually involved in these devices.

However, more research is still needed to clarify the microscopic picture of switching in cation devices, especially for those with non-traditional electrolyte materials, such as some oxides or amorphous Si (refs 12,64,65,94). These materials are usually not good ionic con-ductors and require a higher voltage (thus a high electric field and substantial heating) for switching. A temperature-dependent switch-ing study in a Cu/Ta2O5/Pt system suggests that the reset switch-ing is likely to be thermal-diffusion assisted (similar to in Fig. 1b). Furthermore, some experimental results have shown that the conduc-tion channel64,65 has an opposite geometry to that in Fig. 3, suggest-ing a possibility that the switching occurs at the interface between the inert electrode and the conduction channel in some cases. The reason for the observed discrepancy among different electrolytes remains unclear. It is worth noting that the conduction channel(s) might be composed of nano-islands rather than a continuous filament, espe-cially in the OFF state. This possibility is supported by the observation of a Coulomb blockade effect at low temperature87 and also by some direct imaging of the filament65.

Ph ysical switch es.Both the cation- and anion-based devices are chemical switches because chemical reactions (redox) are involved in the switching mechanisms. There are also various switching phe-nomena where only physical changes are involved and some of these may also belong to generalized memristive devices3. There are, for example, electronic, magnetic, ferroelectric and microstructural pro-cesses, which lead to electronic resistance switches, magnetic tunnel junctions95, ferroresistive switches96,97 and phase-change switches98,99, respectively. Among them, magnetic tunnel junctions and phase-change switches have been the most intensively studied.

In electronic switches, resistance change can stem from charge trapping (de-trapping) at an electrode/insulator interface to increase (decrease) the contact barrier10, or inside a disordered thin film (for example, Pt-doped SiO2)100 to increase or decrease the degree of Anderson localization. E lectronic switches have also been demon-strated in metal-doped polymers and are attributed to charge trans-fer between the metal nanoparticles and the organic materials101,102. Another type of electronic resistance switch relies purely on an elec-tronic phase change such as the Mott transition, which applies to systems (for example, GaTa4S8)103 that should be metals according to band-structure theory but turn out to be insulators due to strong electronic Coulomb interactions below a certain critical density of electrons, as determined by electric or magnetic fields, pressure, or carrier doping104. A ferroelectric tunnel junction, an example of fer-roresistive switches97, utilizes an ultrathin ferroelectric material (for example, BaTiO3)96 as a tunnel barrier in a tunnel junction, where electric-field-induced polarization reversal in the ferroelectric mate-rial changes the interface transmission, thus the tunnelling current and the device resistance. These device concepts seem promising, but experimental data need to be carefully examined as different switch-ing mechanisms, such as the chemical switching discussed before, are also possible in these devices.

Other device geometries.In addition to the most commonly used two-terminal, vertical-stack and crosspoint devices discussed above, other device geometries have also been explored, such as three-termi-nal and lateral (planar) two-terminal devices. Three-terminal resist-ance switches, which resemble a transistor, utilize a third electrode as a gate to control the formation and annihilation of the conduction channel by controlling the drift of dopants. This allows the signal and control lines to be separated. Functioning three-terminal devices have been demonstrated both in cation-105 and anion-based switches39,106, which are related to the 1960 ‘memistor’107. A lateral device has two electrodes on the same plane separated by a small gap, which is filled with a switching material42. This device configuration is mainly used for research because it allows easy access to the switching region for material characterization.

Nanowires have also been employed in resistance switches. Single-walled carbon nanotubes with a breaking gap filled with a GeSbTe phase-change material have been used to demonstrate the sub-10-nm scalability and sub-10-μA switching current in phase-change switches108. Oxide nanowires (for example, CoO x shell/MgO core) have been used to fabricate lateral devices with multielectrodes39 to study the field effect and the switching location. Nanowire core–shell switches have been fabricated by oxidizing the metal nanowire (for example, Co, one electrode) surface to form a switchable metal oxide shell (for example, CoO), on which another electrode wire (for example, Au) is placed perpendicular to the core–shell wire109.

A single metal nanowire (for example, Au) has displayed memristive switching based on electromigration effects66. Self-assembled nanow-ire devices have proved valuable in fundamental studies at the sub-lithographic scale, but high-quality dense integration of nanowires is still a problem without a known good solution. Furthermore, very ab

Ag Ag

Pt Pt

1 μm 1 μm

Figure 3 | Switching of a traditional cation-based device (electrochemical metallization memory) with the cell stack of Pt/H2O/Ag. a, Scanning electron microscopy (SEM) image showing the high-resistance state of the device with shorter and smaller Ag dendrites. b, SEM image of the same cell at low-resistance state with longer and larger Ag dendrites, obtained by applying a positive voltage on the Ag electrode side. Figure reproduced with permission from ref. 93, ? 2007 AIP.

REVIEW ARTICLE

NATURE NANOTECHNOLOGY DOI: 10.1038/NNANO.2012.240

narrow but very thick metal electrodes, such as those synthesized by a Cu damascene technique, are needed to reduce the electrode resist-ance in a crossbar array.

Nonlinear switch ing dynamics. Switching dynamics reveal much about the nature of the resistance switching and hence deserve detailed attention. For example, Fig. 4a shows typical experimental data for switching dynamics in TiO 2?x devices 110. In particular, no change to the memristor state occurs for small biases applied, even over long time scales. On the other hand, the state changes abruptly (potentially super-exponential) on further increase of the voltage bias. Note that there is no well defined or ‘sharp’ switching threshold voltage for memristive devices.

For TiO 2?x memristive devices that rely on modulation of the oxygen vacancy concentration profile, such switching dynamics are explained by nonlinear ionic transport 80,111–113 (Fig. 4b). The vacancy motion in solids is via thermally activated hopping of oxygen ions and can be crudely approximated with hopping in the net potential of the constituent ions 114 (Fig. 4c). (Such a model is best suited for ionic solids but can also be applied to mixed ionic–covalent and purely covalent solids with some corrections, for example, to electron–elec-tron interactions.) The hopping rate, and hence the ionic drift velocity and current, depends exponentially on both the applied electric field and local temperature, T . Under normal conditions, deformation of the lattice potential energy by an external electric field E < 106 V cm ?1 is typically much less than the activation energy for ion hopping U A (with U A > 1 eV for practical cases), so that a familiar linear approxi-mation for ion velocity v = μE (where μ is ion mobility) is used to describe ion drift. Memristive devices operate in a different regime:

much larger electric fields E ≈ V /d >> 106 V cm ?1 consistent with nanoscale film thicknesses d and few-volts applied biases V produce exponential ion transport v = μE 0 exp[E /E 0], where E 0 is a character-istic field for a particular mobile atom 111. Joule heating also expo-nentially enhances ionic drift and diffusion, and super-exponential dependence of the switching dynamics on the applied voltage might take place due to super-linear (exponential) dependence of the cur-rent (and hence dissipated power and temperature) on the applied voltage itself 63,115,116, for example, v (V ) ∝ exp[T ] ∝ exp[exp[V ]].

Similar nonlinear switching dynamics are observed in cation-based memristive devices, although the underlying detailed mech-anisms may be different 9. In addition to hopping of the ions, the motion of the active electrode ion involves two redox reactions, that is, electron transfers, at the two interfaces (Fig. 4b). The reduction is often the rate-limiting process with traditional electrolytes, with an inherent exponential dependence on the electric field (Fig. 4c) as described by the Butler–Volmer equation. Recent experiments in cation devices based on poor ion conductors, such as a-Si, sug-gest that bulk transport might also be the rate-limiting process 64,65 in some cases.

Nonlinearity in ionic transport is crucial for simultaneously realiz-ing faster switching speeds and longer retention times in memristive devices 111,113,117. For example, for a system with U A = 1 eV , ionic diffu-sion is enhanced 12 orders of magnitude from D 300K ≈ 4 × 10–24 cm 2 s ?1 (at room temperature) to D 800K ≈ 5 × 10–12 cm 2 s ?1 on Joule heating by 500 K. Assuming that ions need to move only a few nanome-tres, say d = 5 nm, to cause significant change in resistance state, E = 5 × 107 V cm ?1 would correspond to a switching speed of about t ≈

70 ns (ref. 111). The retention time is determined by the rate of ion

ON

–8

R (v = –0.2 V )/R 0(v = –0.2 V )

~k B ΔΔPhase transition or redox reaction

E ective barrier modulation due to:

12233a

b

c

Figure 4 | Nonlinear switching dynamics. a –c , Typical switching dynamics for an anion (TiO 2?x ) device characterized by a voltage pulse stress

(a , top) with variable pulse duration and amplitude 110,115. In particular, panel a (bottom) shows 16 curves, that is, 8 each for set (green) and reset (blue), with each curve showing evolution of the normalized resistance (R , measured at specific bias) for the device that is initially set to the OFF (ON) state and then continuously switched to the ON (OFF) by voltage pulses with fixed amplitude and exponentially increasing duration. Such dynamics can be attributed to an exponential dependence of the ion transport (b ) on the electric field and/or local temperature 111 (c ). The other mechanisms for

nonlinear dynamics are due to an exponentially enhanced redox reaction (c ) happening at the electrode/solid-state electrolyte interface (b ) for cation-based devices 9 and/or phase transitions (for example, Magnéli phases) affecting activation energy 38,44,152. U A is the activation energy for ion hopping, E is electric field, q is elementary charge, and k B is the Boltzmann constant. Panel a reproduced with permission from ref. 110, ? 2012 IOP.

REVIEW ARTICLE

NATURE NANOTECHNOLOGY DOI: 10.1038/NNANO.2012.240

diffusion back towards a thermodynamic equilibrium ionic profile and, in this example, can be approximated as t ≈ d 2/D 300K = 75 days, where the diffusion (retention) is assumed at room temperature. Retention times might be shorter when taking into account built-in internal electric fields and elevated temperatures due to Joule heat-ing or thermal crosstalk in memristive circuits 111. Additionally, the activation energy might need a correction when the ion movement is followed by chemical reaction or phase transition, for example, to a Magnéli phase in TiO 2?x (Fig. 4c)38,44, which might even improve the retention. One important observation from this analysis is that speed and retention are related properties that are usually traded off against each other. Engineering the devices with a smaller activation energy for ion hopping or redox reaction will improve the switching speed but it will also reduce the retention time.

High stress conditions (electric fields and/or elevated tempera-tures) seem crucial for nonlinear ionic transport, but they can be det-rimental to other performance characteristics of memristive devices. For example, electrode melting, penetration into the insulating matrix, and permanent shorting of the device have been identified as some of the principal failure mechanisms limiting switching endur-ance of the memristors. Clearly, these failure mechanisms and others, for example, electromigration in electrodes and electron trapping-based oxide degradation, are enhanced (exponentially) by high stress conditions. Furthermore, strong nonlinearity in ion transport may also lead to larger dispersion in switching dynamics. Identifying and engineering nonlinear ion transport mechanisms that do not impact endurance and variations in the memristive devices is therefore an important goal 118.

Prospective applications

Research activity in resistance switching has been primarily driven by the search for an ideal memory device. Indeed, hybrid CMOS/memristor circuits (Fig. 5 and 6a), and in particular those with the passive crossbar architecture (Fig. 6), could potentially combine all the desired properties of ‘universal memory’ — high speed, low energy and high endurance of static random access memories, and high density, low cost and non-volatility of flash memories (Table 1)119. Although many research efforts remain focused on sin-gle memristive devices, demonstrations of larger crossbar circuits are increasingly common 120,121.

Logic applications of memristors are also being explored. Hybrid CMOS/memristor circuits (Fig. 5a,b) might eliminate the main ineffi-ciency of field programmable gate arrays (FPGAs) — namely the large overhead associated with storing the circuit configuration informa-tion in local memory. In traditional FPGAs configuration memory is implemented as static random access memory or flash memory and typically consumes a large area of the chip — on the order of 50–90%

(ref. 122). In hybrid FPGA circuits, configuration information could be stored in nanoscale memristors to improve density by more than 10 or 100 times for conservative or aggressive architectures as com-pared with conventional circuits 123–126 (Fig. 6d–f). The conservative version of a hybrid FPGA has recently been demonstrated with nano-imprinted 100-nm-scale TiO 2?x memristor devices integrated with 0.5-μm CMOS technology 127. Memristors have also been proposed to implement material implication logic 128, in which Boolean logic states are stored as resistance states of the devices rather than voltage levels as in conventional logic. More research on circuits is needed to understand and optimize these hybrid circuits for all of these Boolean logic applications.

The idea of using resistance switching devices in artificial neu-ral networks and for mixed signal computing in general (Fig. 6g–i) has a long history and can be traced back to at least the 1960s. This early work, however, was largely overlooked, principally because of the emergence of the first successful digital microprocessor just a few years later, which quickly became the dominant platform for com-puting. Some significant developments in this context were thin-film tungsten oxide and a-Si:H memristive devices 129, but it seems that the results were not encouraging enough to motivate continued investi-gation. More recently, the topic has been revived by publications on titanium dioxide memristors 37, and demonstrations of spike-time-dependent plasticity 130–132, short- and long-term potentiation in resis-tive switching devices 133, and hybrid circuits performing analogue dot-product computation 110. Motivation for the development of arti-ficial neural networks comes from the fact that mammalian brains remain much more efficient than conventional Boolean machines for many computational tasks such as pattern recognition and classifi-cation, despite the exponential progress in CMOS performance. The structure of the artificial neural networks map naturally onto hybrid CMOS/memristor circuits and crude estimates have suggested that circuits with ultimately scaled CMOS technology and sub-10-nm scale memristive devices could challenge the complexity and connec-tivity of the human brain 134.

In general, memristive devices combining all the desired proper-ties would be beneficial for all such applications (Fig. 7). (The excep-tion is ON-state resistance, which should be large enough to avoid voltage drop across crossbar wires, whose typical length depends on a particular application.) Because contemporary memristive devices are still far from that goal (partially due to immature fabrication tech-nology), it is useful to analyse which performance metrics are more important for the various applications 68,74,110,120,124,128,133,135–143 (Fig. 7). In this context, targeted memory applications are subdivided into two categories. At the initial stage of development memristive memories are likely to compete and replace conventional storage technologies (which are slow, but very dense, cost effective and non-volatile) and, in the long run, reach the speed of fast memory technologies.

Key challenges and outlook

Cation- and anion-based devices have shown encouraging

(nano)wire level

Crossbar add-on with intergrated memristive devices Conventional CMOS circuits

memristive devices at each crosspoint

Figure 5 | Hybrid CMOS/memristor circuits. a ,b , Owing to the simple functionality of memristors most practical approaches rely on combining

memristors with sparse but more powerful conventional CMOS circuits (a ), for example, by integrating memristive devices into crossbar structures on top of a CMOS subsystem 153,154 (b ). Crossbar structures enable very high density in large-scale circuits, with devices defined by the overlap area of the two electrodes. c , Schematic I–V curve for a nonlinear memristive device. V TH denotes a threshold voltage below which current is negligible.

REVIEW ARTICLE

NATURE NANOTECHNOLOGY DOI: 10.1038/NNANO.2012.240

CMOS C M O S d e c o d e r

memory cell

WRITE V READ operation WRITE operation

Crossbar wires cell

cell

memristors

and crossbar wires

CMOS neuron

2

1

w i x i

c

d g h

i

Figure 6 | Prospective applications of memristive circuits. a –c , Digital memories and storage: in memories a resistance state represents one or more bits of information that are read by sensing current flowing through the device at a smaller non-perturbative bias. When combined in circuits, memory cells containing a memristor and a select device are organized in roughly square arrays with multiple cells sharing row (word) and column (bit) lines. The select device, implemented for example, with a transistor in a conventional 1-transistor/1-resistor (1T1R) cell architecture (a ) enables unique access (read/write) to a particular row of cells at a time via bit lines by asserting the corresponding select word line. A much denser alternative to the 1T1R architecture without a dedicated transistor is shown in b ,c (passive crossbar memory). In passive memory, select functionality is implemented via the diode-like I–V of the

crosspoint device (shown in Fig. 5c). If the current is negligible for V < |V TH | then choosing a read voltage such that V TH < V READ < 2V TH allows suppression of leakage current when sensing a particular cell (b ). Strong nonlinearity in switching dynamics helps avoid another problem specific to 0-transistor/1-resistor (0T1R) architectures: disturbance of half-selected devices (c ), which are typically biased at half of the write voltage V WRITE /2 applied to switch a particular cell in the array. A number of biasing schemes as well as different approaches for current sensing have been proposed, see, for example, ref. 155 for more details. d , Programmable logic: in the conservative approach memristors serve as the configurable interconnect in FPGA-like circuits. A specific memristor would control whether two wires (for example, the input of some Boolean gates and output of another) are electrically connected. The operation of the FPGA consists of two stages: first, a particular computation task is mapped to the FPGA structure by setting connectivity of the gates via programming appropriate crosspoints to the ON state. During the second much longer stage, the connectivity pattern is fixed (that is, memristors do not change their state), and the FPGA runs a specific task with the programmed circuit. e ,f , In a more aggressive approach, in addition to serving as a programmable interconnect memristors also implement part of the logic gate functionality. The I–V nonlinearity of the memristive devices is used to implement diode-resistor logic (f ), whereas a CMOS subsystem is used for signal restoration and inversion. g –i , Bio-inspired and mixed-signal information processing: hybrid CMOS/memristor circuits may also enable efficient analogue dot-product computation, which is a key operation in artificial neural networks and many other information processing tasks. In the simplest model, artificial neural networks can be represented by a graph (g ) with nodes corresponding to neurons and graph edges that correspond to synapses (d ). In parallel, each node processes input information from the preceding nodes and then passes it to the next layer of nodes. h , A typical operation of the node would involve summation of input signals, with each scaled by the corresponding edge weights, followed by a specific threshold function of the node (not shown). In hybrid CMOS/memristor circuits memristive devices implement density-critical configurable analogue weights, crossbar wires serve as axons and dendrites, and CMOS is used for the summing amplifier to provide gain and signal restoration. As a result, individual voltages applied to memristors can be multiplied by the unique weight (conductance) of the memristor and summed up by a CMOS amplifier, thus implementing dot-product computation in analogue fashion. Here x 1, x 2 and y are input and output voltages for the considered synapses and neuron, correspondingly, whereas w 1 and w 2 are conductances of the corresponding memristive devices.

REVIEW ARTICLE

NATURE NANOTECHNOLOGY DOI: 10.1038/NNANO.2012.240

properties (Fig. 7). However, challenges remain, among which the device variability (or reproducibility) and I –V nonlinearity are the main two. Furthermore, retention for cation-based devices and yield for anion-based devices remain to be demonstrated with nan-odevices at the wafer scale. A SPICE (simulation program with inte-grated circuit emphasis) model and statistics of device parameters from a large number of devices and switching events are also needed for circuit designers.

Device variability. The variance from switching cycle to cycle and from device to device can be very large. For example, it has been seen experimentally that active conduction channels (filaments) can be inactivated and other inactive or even new ones can be activated during switching cycling of a single device. The materials selection criteria described above can lead to a stable channel–matrix system and thus greatly reduce the variance from cycle to cycle. The variance from device to device stems mainly from the random electroform-ing process that creates a different filament structure in each device. A high-voltage electroforming can be obviated by thinning the stoi-chiometric oxide film and adding a thick sub-oxide layer 35. However,

this does not guarantee a similar channel in each device. Engineering control to reduce the randomness of channel creation is the key to reduce this variance, which can for instance be realized by fabricat-ing uniformly distributed small precursors in the device for channel formation 30. Some experimental evidence 144 has suggested that the problem is also partially resolved by scaling down the device to a size that is comparable to that of the filament and therefore has a limited active area.

Current–voltage nonlinearity . Using a transistor as the select device at each crosspoint in a crossbar array limits the footprint of each cell and its three-dimensional stacking capability, and would therefore not realize the potential scalability of memristive devices. A pas-sive crossbar array utilizing the I–V nonlinearity (different from the nonlinearity of switching dynamics mentioned above) of each cell is an alternative for ultrahigh density. Providing diode-like functional-ity (for example, Schottky or p–n junction)145 is useful for unipolar devices, but must be approached cautiously for bipolar type devices, as this limits heating and the electric field across the active device when attempting to write devices in the reverse bias configuration. A plausible solution is to use a special diode, such as a soft breakdown diode 146 or a Zener diode, which should permit enough current den-sity to switch the memristive device.

Two memristive devices can also be stacked anti-serially (head-to-head) to form a complementary resistance switch 147. Under a switch-ing voltage pulse, these two devices see opposite voltage polarities and switch in opposite directions, thus limiting the current through the device pair as there is always one device in the OFF state in the pair. This device concept can also be realized in a single memristive device with two switchable interfaces 56. It is, however, difficult to operate the switches as multilevel cells or analogue devices with the scheme. A destructive read in complementary resistance switch devices is also much more demanding for device endurance.

The other option is to integrate a series metal/insulator/metal tunnel barrier 121, other S-type bistability 141,148–150 or mixed ionic-electronic conductors 151, to suppress current at low voltage biases. In this case the select functionality is achieved with a half-biasing scheme as shown in Fig. 6b,c. A large I–V nonlinearity can be engi-neered by integrating an oxide layer, such as one made of TiO 2?x with a memristive TaO x layer 141. A single-layer TaO x -based nanodevice normally exhibits a linear I–V relation in the low-resistance state, meaning a high current at half of the switching voltage. However, for the bilayer oxide device the sneak path current is about two orders of magnitude smaller than that of the linear device 141. A detailed study suggests that a new NDR phase formed inside the bilayer oxide may be responsible for the observed I–V nonlinearity 141. To realize a large OFF/ON resistance window in this case, a reading voltage large enough to trigger the threshold switching (volatile) of the NDR element itself might be necessary when the memristive

3. Reciprocal switching energy

4. Switching speed

current ratio

nonlinearity

Storage Memory Logic Neuro

Demonstrated

Figure 7 | Device performance requirements for representative

applications. A number of device requirements are ranked (qualitatively) among four considered applications. A higher position on the axis implies a higher required value of the specific metric. The dashed red line indicates best reported memristor experimental data (which are reported for

different devices and hence might not be necessarily combined in a single device).

Quantitative estimates for considered device requirements are given in Supplementary T able S3.

REVIEW ARTICLE

NATURE NANOTECHNOLOGY DOI: 10.1038/NNANO.2012.240

switch is ON, meaning that every reading operation switches the NDR element once. Therefore, reading endurance may be a poten-tial concern of this scheme. A threshold switching (Fig. 1d) with unlimited switching cycles would be very valuable for obtaining high nonlinearity in memristive devices.

In conclusion, the development of memristive devices has recently witnessed remarkable progress. Figure 7, for example, shows device performance requirements for a range of applications and illustrates that most of these requirements have been success-fully achieved with memristors, at least in devices fabricated in specialized laboratories. Nevertheless, it remains to be seen if mem-ristive devices can combine these characteristics in a single com-mercially competitive device design. Further research into device mechanisms — particularly the microscopic processes of the initial and subsequent switchings — is crucial to achieve reliable and pre-dictable nanodevices at the wafer scale. Furthermore, it is time for circuit and system designers to be more actively involved in mak-ing use of existing device properties and providing guidance on the development of future device properties for applications with differ-ent performance requirements.

Received 14 August 2012; accepted 26 November 2012; published online 27 December 2012

References

1. Chua, L. O. Memristor—missing circuit element. IEEE Trans. Circuit Theory

CT-18, 507–519 (1971).

This article contains the original theoretical description of memristors.

2. Chua, L. O. & Kang, S. M. Memristive devices and systems. Proc. IEEE

64, 209–223 (1976).

3. Chua, L. O. Resistance switching memories are memristors. Appl. Phys. A

102, 765–783 (2011).

4. Prodromakis, T., Toumazou, C. & Chua, L. Two centuries of memristors.

Nature Mater.11, 478–481 (2012).

5. Strukov, D. B., Snider, G. S., Stewart, D. R. & Williams, R. S. The missing

memristor found. Nature453, 80–83 (2008).

This article first established the link between the memristor theory and

experimental results.

6. Hickmott, T. W. Low-frequency negative resistance in thin anodic oxide films.

J. Appl. Phys.33, 2669–2682 (1962).

7. Dearnaley, G., Stoneham, A. M. & Morgan, D. V. Electrical phenomena in

amorphous oxide films. Rep. Prog. Phys.33, 1129–1191 (1970).

8. Waser, R. & Aono, M. Nanoionics-based resistive switching memories. Nature

Mater.6, 833–840 (2007).

9. Waser, R., Dittmann, R., Staikov, G. & Szot, K. Redox-based resistive switching

memories—Nanoionic mechanisms, prospects, and challenges. Adv. Mater.

21, 2632–2663 (2009).

10. Sawa, A. Resistive switching in transition metal oxides. Mater. Today

11, 28–36 (June, 2008).

11. Kyung Min, K., Doo Seok, J. & Cheol Seong, H. Nanofilamentary resistive

switching in binary oxide system; a review on the present status and outlook.

Nanotechnology22, 254002 (2011).

12. Valov, I., Waser, R., Jameson, J. R. & Kozicki, M. N. Electrochemical

metallization memories—fundamentals, applications, prospects.

Nanotechnology22, 254003 (2011).

13. Pershin, Y. V. & Di Ventra, M. Memory effects in complex materials and

nanoscale systems. Adv. Phys.60, 145–227 (2011).

14. McCreery, R. L. & Bergren, A. J. Progress with molecular electronic junctions:

Meeting experimental challenges in design and fabrication. Adv. Mater.

21, 4303–4322 (2009).

15. Yang, Z., Ko, C. & Ramanathan, S. Oxide electronics utilizing ultrafast metal–

insulator transitions. Ann. Rev. Mater. Res.41, 337–367 (2011).

16. Wong, H. S. P. et al. Metal-oxide RRAM. Proc. IEEE100, 1951–1970 (2012).

17. Jeong, D. S. et al. Emerging memories: resistive switching mechanisms and

current status. Rep. Prog. Phys.75, 076502 (2012).

18. Akinaga, H. & Shima, H. Resistive random access memory (ReRAM) based on

metal oxides. Proc. IEEE98, 2237–2251 (2010).

19. Waser, R. (ed.) Nanoelectronics and Information Technology 3rd edn, (Wiley, 2012).

20. Choi, B. J. et al. Resistive switching mechanism of TiO2 thin films grown by

atomic-layer deposition. J. Appl. Phys.98, 033715 (2005).

21. Seo, S. et al. Reproducible resistance switching in polycrystalline NiO films.

Appl. Phys. Lett.85, 5655–5657 (2004).22. Szot, K., Speier, W., Bihlmayer, G. & Waser, R. Switching the electrical

resistance of individual dislocations in single-crystalline SrTiO3. Nature Mater.

5, 312–320 (2006).

This article demonstrated scalability of oxide-based switching down to

individual dislocations, that is, <1 nm.

23. Beck, A., Bednorz, J. G., Gerber, C., Rossel, C. & Widmer, D. Reproducible

switching effect in thin oxide films for memory applications. Appl. Phys. Lett.

77, 139–141 (2000).

24. Liu, S. Q., Wu, N. J. & Ignatiev, A. Electric-pulse-induced reversible resistance

change effect in magnetoresistive films. Appl. Phys. Lett.76, 2749–2751 (2000).

25. Quintero, M., Levy, P., Leyva, A. G. & Rozenberg, M. J. Mechanism of

electric-pulse-induced resistance switching in manganites.

Phys. Rev. Lett.98, 116601 (2007).

26. Choi, B. J. et al. Nitride memristors. Appl. Phys. A 109, 1–4 (2012).

27. Goux, L. et al. Coexistence of the bipolar and unipolar resistive-switching

modes in NiO cells made by thermal oxidation of Ni layers. J. Appl. Phys.

107, 024512–024517 (2009).

28. Jeong, D. S., Schroeder, H. & Waser, R. Coexistence of bipolar and unipolar

resistive switching behaviors in a Pt/TiO2/Pt stack. Electrochemi. Solid State Lett.10, G51–G53 (2007).

29. Yang, J. J. et al. Metal/TiO2 interfaces for memristive switches. Appl. Phys. A

102, 785–789 (2011).

30. Yang, J. J. et al. Diffusion of adhesion layer metals controls nanoscale

memristive switching. Adv. Mater.22, 4034–4038 (2010).

31. Stewart, D. R. et al. Molecule-independent electrical switching in Pt/organic

monolayer/Ti devices. Nano Lett.4, 133–136 (2003).

32. Standley, B. et al. Graphene-based atomic-scale switches. Nano Lett.

8, 3345–3349 (2008).

33. Yao, J., Zhong, L., Natelson, D. & Tour, J. M. Silicon oxide: A non-innocent

surface for molecular electronics and nanoelectronics studies. J. Am. Chem.

Soc.133, 941–948 (2011).

34. Gomez-Marlasca, F., Ghenzi, N., Rozenberg, M. J. & Levy, P. Understanding

electroforming in bipolar resistive switching oxides. Appl. Phys. Lett.

98, 042901–042903 (2011).

35. Yang, J. J. et al. The mechanism of electroforming of metal oxide memristive

switches. Nanotechnology20, 215201 (2009).

36. Jeong, D. S., Schroeder, H., Breuer, U. & Waser, R. Characteristic

electroforming behavior in Pt/TiO2/Pt resistive switching cells depending on atmosphere J. Appl. Phys.104, 123716 (2008).

37. Yang, J. J. et al. Memristive switching mechanism for metal/oxide/metal

nanodevices. Nature Nanotech.3, 429–433 (2008).

38. Kwon, D. H. et al. Atomic structure of conducting nanofilaments in TiO2

resistive switching memory. Nature Nanotech.5, 148–153 (2010).

This article first demonstrated that the channel structure of TiO2is a

crystalline suboxide, Magnéli phase, Ti4O7.

39. Nagashima, K. et al. Intrinsic mechanisms of memristive switching. Nano Lett.

11, 2114–2118 (2011).

40. Kim, K. M. et al. Collective motion of conducting filaments in Pt/n-type

TiO2/p-Type NiO/Pt stacked resistance switching memory. Adv. Funct. Mater.

21, 1587–1592 (2011).

41. He, J. et al. Prediction of high-temperature point defect formation in TiO2

from combined ab initio and thermodynamic calculations. Acta Mater.

55, 4325–4337 (2007).

42. Janousch, M. et al. Role of oxygen vacancies in Cr-doped SrTiO3 for

resistance-change memory. Adv. Mater.19, 2232–2235 (2007).

43. Nian, Y. B., Strozier, J., Wu, N. J., Chen, X. & Ignatiev, A. Evidence for an

oxygen diffusion model for the electric pulse induced resistance change effect in transition-metal oxides. Phys. Rev. Lett.98, 146403 (2007).

44. Strachan, J. P. et al. Direct identification of the conducting channels in a

functioning memristive device. Adv. Mater.22, 3573–3577 (2010).

45. Yajima, T. et al. Spatial redistribution of oxygen ions in oxide resistance

switching device after forming process. Jpn. J. Appl. Phys.49, 060215 (2010).

46. Magyari-K?pe, B., Tendulkar, M., Park, S-G., Lee, H. D. & Nishi, Y. Resistive

switching mechanisms in random access memory devices incorporating

transition metal oxides: TiO2, NiO and Pr0.7 Ca0.3 MnO3. Nanotechnology

22, 254029 (2011).

47. Jameson, J. R. & Nishi, Y. Role of hydrogen ions in TiO2-based memory

devices. Integrated Ferroelectrics124, 112–118 (2011).

48. Tsuruoka, T. et al. Effects of moisture on the switching characteristics of oxide-

based, gapless-type atomic switches. Adv. Funct. Mater.22, 70–77 (2011). 49. Strachan, J. P. et al. The switching location of a bipolar memristor: chemical,

thermal and structural mapping. Nanotechnology22, 254015 (2011).

50. Kim, K. M., Choi, B. J., Shin, Y. C., Choi, S. & Hwang, C. S. Anode-interface

localized filamentary mechanism in resistive switching of TiO2 thin films.

Appl. Phys. Lett.91, 012907 (2007).

51. Chang, S. H. et al. Effects of heat dissipation on unipolar resistance switching

in Pt/NiO/Pt capacitors. Appl. Phys. Lett.92, 183507 (2008).

REVIEW ARTICLE NATURE NANOTECHNOLOGY DOI: 10.1038/NNANO.2012.240

52. Kim, K. M., Choi, B. J., Song, S. J., Kim, G. H. & Hwang, C. S. Filamentary

resistive switching localized at cathode interface in NiO thin films.

J. Electrochem. Soc.156, G213–G216 (2009).

53. Baikalov, A. et al. Field-driven hysteretic and reversible resistive switch at the

Ag–Pr0.7Ca0.3MnO3 interface. Appl. Phys. Lett.83, 957–959 (2003).

54. Muenstermann, R., Menke, T., Dittmann, R. & Waser, R. Coexistence of

filamentary and homogeneous resistive switching in Fe-doped SrTiO3 thin-

film memristive devices. Adv. Mater.22, 4819–4822 (2010).

55. Feng, M., Yang, J. J., Borghetti, J., Medeiros-Ribeiro, G. & Williams, R. S.

Observation of two resistance switching modes in TiO2 memristive devices

electroformed at low current. Nanotechnology22, 254007 (2011).

56. Y ang, J. J., Borghetti, J., Murphy, D., Stewart, D. R. & Williams, R. S. A family of

electronically reconfigurable nanodevices. Adv. Mater.21, 3754–3758 (2009). 57. Y oon, K. J. et al. Memristive tri-stable resistive switching at ruptured

conducting filaments of a Pt/TiO2/Pt cell. Nanotechnology23, 185202 (2012).

58. Ielmini, D., Bruchhaus, R. & Waser, R. Thermochemical resistive

switching: materials, mechanisms, and scaling projections. Phase Transit.

84, 570–602 (2011).

59. Karg, S. F. et al. Transition-metal-oxide-based resistance-change memories.

IBM J. Res. Dev.52, 481–492 (2008).

60. Jiang, W. et al. Local heating-induced plastic deformation in resistive

switching devices. J. Appl. Phys.110, 054514 (2011).

61. Russo, U. et al. in Electron Devices Meeting, 2007. IEDM 2007.IEEE Int.

775–778 (IEEE, 2007).

62. Borghetti, J. et al. Electrical transport and thermometry of electroformed

titanium dioxide memristive switches. J. Appl. Phys.106, 124504 (2009). 63. Menzel, S. et al. Origin of the ultra-nonlinear switching kinetics in oxide-

based resistive switches. Adv. Funct. Mater.21, 4487–4492 (2011).

64. Liu, Q. et al. Real-time observation on dynamic growth/dissolution of

conductive filaments in oxide-electrolyte-based ReRAM. Adv. Mater.

24, 1844–1849 (2012).

65. Yang, Y. et al. Observation of conducting filament growth in nanoscale

resistive memories. Nature Commun.3, 732 (2012).

66. Johnson, S. L., Sundararajan, A., Hunley, D. P. & Strachan, D. R. Memristive

switching of single-component metallic nanowires. Nanotechnology

21, 5 (2010).

67. Strukov, D., Alibart, F. & Stanley Williams, R. Thermophoresis/diffusion as

a plausible mechanism for unipolar resistive switching in metal-oxide-metal

memristors. Appl. Phys. A 107, 509–518 (2012).

68. Miao, F. et al. Anatomy of a nanoscale conduction channel reveals

the mechanism of a high-performance memristor. Adv. Mater.

23, 5633–5640 (2011).

69. Yao, J., Zhong, L., Natelson, D. & Tour, J. M. In situ imaging of the conducting

filament in a silicon oxide resistive switch. Sci. Rep.2, 242 (2012).

70. Chang, S. H. et al. Occurrence of both unipolar memory and threshold

resistance switching in a NiO Film. Phys. Rev. Lett.102, 026801 (2009).

71. Pickett, M. D., Borghetti, J., Yang, J. J., Medeiros-Ribeiro, G. & Williams, R. S.

Coexistence of memristance and negative differential resistance in a nanoscale metal-oxide-metal system. Adv. Mater.23, 1730–1733 (2011).

72. Yang, J. J. et al. High switching endurance in TaO x memristive devices.

Appl. Phys. Lett.97, 232102 (2010).

This article first proposed memristive material selection criteria for high endurance and low variability.

73. Goldfarb, I. et al. Electronic structure and transport measurements of

amorphous transition-metal oxides: observation of Fermi glass behavior.

Appl. Phys. A107, 1–11 (2012).

74. Lee, M-J. et al. A fast, high-endurance and scalable non-volatile memory

device made from asymmetric Ta2O5?x/TaO2?x bilayer structures. Nature Mater.

10, 625–630 (2011).

This article demonstrated >trillion switching cycles from an oxide

memristive device.

75. Lee, H. Y. et al. in Int. Electron Devices Meeting2010IEDM 2010.IEEE Int.

19.7.1–19.7.4 (IEEE, 2010).

76. Hirose, Y. & Hirose, H. Polarity-dependent memory switching and behavior of

Ag dendrite in Ag-photodoped amorphous As2S3 films. J. Appl. Phys.

47, 2767–2772 (1976).

77. West, W. C., Sieradzki, K., Kardynal, B. & Kozicki, M. N. Equivalent circuit

modeling of the Ag vertical bar As0.24S0.36Ag0.40 vertical bar Ag system prepared by photodissolution of Ag. J. Electrochem. Soc.145, 2971–2974 (1998).

78. Lu, W., Jeong, D. S., Kozicki, M. & Waser, R. Electrochemical metallization

cells-blending nanoionics into nanoelectronics? Mater. Res. Soc. Bull.

37, 124–130 (2012).

79. Hasegawa, T., Terabe, K., Tsuruoka, T. & Aono, M. Atomic switch: Atom/

ion movement controlled devices for beyond von-Neumann computers. Adv.

Mater.24, 252–267 (2012).

80. Jo, S. H., Kim, K. H. & Lu, W. Programmable resistance switching in nanoscale

two-terminal devices. Nano Lett.9, 496–500 (2009).81. Russo, U., Kamalanathan, D., Ielmini, D., Lacaita, A. L. & Kozicki, M. N.

Study of multilevel programming in programmable metallization cell (PMC) memory. Electron Dev. IEEE Trans. on56, 1040–1047 (2009).

82. Banno, N., Sakamoto, T., Hasegawa, T., Terabe, K. & Aono, M. Effect of ion

diffusion on switching voltage of solid-electrolyte nanometer switch. Jpn.

J. Appl. Phys. 45, 3666–3668 (2006).

83. Wang, Z. et al. Resistive switching mechanism in Zn x Cd1?x S nonvolatile

memory devices. Electron Dev. Lett. IEEE28, 14–16 (2007).

84. Mitkova, M. & Kozicki, M. N. Mass transport in chalcogenide electrolyte

films—materials and applications. J. Non-Cryst. Solids352, 567–577 (2006). 85. Valov, I. et al. Atomically controlled electrochemical nucleation at superionic

solid electrolyte surfaces. Nature Mater.11, 530–535 (2012).

86. Terabe, K., Hasegawa, T., Nakayama, T. & Aono, M. Quantized conductance

atomic switch. Nature433, 47–50 (2005).

This article demonstrated switching by the motion of a few atoms.

87. Sakamoto, T. et al. Electronic transport in Ta2O5 resistive switch. Appl. Phys.

Lett.91, 092110 (2007).

88. Kever, T., Bottger, U., Schindler, C. & Waser, R. On the origin of bistable

resistive switching in metal organic charge transfer complex memory cells.

Appl. Phys. Lett.91, 083506 (2007).

89. Chen, C., Yang, Y. C., Zeng, F. & Pan, F. Bipolar resistive switching in Cu/AlN/

Pt nonvolatile memory device. Appl. Phys. Lett.97, 083502–083503 (2010). 90. Guan, W. H., Liu, M., Long, S. B., Liu, Q. & Wang, W. On the resistive

switching mechanisms of Cu/ZrO2:Cu/Pt. Appl. Phys. Lett.93, 223506 (2008).

91. Huang, R. et al. Resistive switching of silicon-rich-oxide featuring high

compatibility with CMOS technology for 3D stackable and embedded

applications. Appl. Phys. A 102, 927–931 (2011).

92. Feng, P., Shong, Y. & Subramanian, V. A detailed study of the forming stage of

an electrochemical resistive switching memory by KMC simulation. Electron Dev. Lett. IEEE32, 949–951 (2012).

93. Guo, X., Schindler, C., Menzel, S. & Waser, R. Understanding the switching-off

mechanism in Ag+ migration based resistively switching model systems. Appl.

Phys. Lett.91, 133513 (2007).

94. Tsuruoka, T., Terabe, K., Hasegawa, T. & Aono, M. Forming and switching

mechanisms of a cation-migration-based oxide resistive memory.

Nanotechnology21, 425205 (2010).

95. Parkin, S. S. P. et al. Giant tunnelling magnetoresistance at room temperature

with MgO (100) tunnel barriers. Nature Mater.3, 862–867 (2004).

96. Chanthbouala, A. et al. Solid-state memories based on ferroelectric tunnel

junctions. Nature Nanotech.7, 101–104 (2012).

97. Jiang, A. Q. et al. A resistive memory in semiconducting BiFeO3 thin-film

capacitors. Adv. Mater.23, 1277–1281 (2011).

98. Wuttig, M. & Yamada, N. Phase-change materials for rewriteable data storage.

Nature Mater.6, 824–832 (2007).

99. Raoux, S., Welnic, W. & Ielmini, D. Phase change materials and their

application to nonvolatile memories. Chem. Rev.110, 240–267 (2009). 100. Chen, A. B. K., Kim, S. G., Wang, Y., Tung, W-S. & Chen, I. W. A size-dependent nanoscale metal–insulator transition in random materials. Nature Nanotech.6, 237–241 (2011).

101. Yang, Y., Ouyang, J., Ma, L., Tseng, R. J. H. & Chu, C. W. Electrical switching and bistability in organic/polymeric thin films and memory devices. Adv.

Funct. Mater.16, 1001–1014 (2006).

102. Lee, T. & Chen, Y. Organic resistive nonvolatile memory materials. Mater. Res.

Soc. Bull.37, 144–149 (2012).

103. Cario, L., Vaju, C., Corraze, B., Guiot, V. & Janod, E. Electric-field-induced resistive switching in a family of Mott insulators: Towards a new class of

RRAM memories. Adv. Mater.22, 5193–5197 (2010).

104. Inoue, I. H. & Rozenberg, M. J. Taming the Mott transition for a novel Mott transistor. Adv. Funct. Mater.18, 2289–2292 (2008).

105. Hasegawa, T. et al. Volatile/nonvolatile dual-functional atom transistor.

Appl. Phys. Express4, 015204 (2010).

106. Xia, Q. et al. Two- and three-terminal resistive switches: Nanometer-scale memristors and memistors. Adv. Funct. Mater.21, 2660–2665 (2011).

107. Widrow, B. An adaptive “ADALINE”neuron using chemical “Memistors”.

Stanford Electronics Laboratories Technical Report No.1553–2 (1960).

108. Xiong, F., Liao, A. D., Estrada, D. & Pop, E. Low-power switching of phase-change materials with carbon nanotube electrodes. Science

332, 568–570 (2011).

109. Cagli, C. et al. Resistive-switching crossbar memory based on Ni–NiO core–shell nanowires. Small7, 2899–2905 (2011).

110. Alibart, F., Gao, L. G., Hoskins, B. D. & Strukov, D. B. High precision tuning of state for memristive devices by adaptable variation-tolerant algorithm.

Nanotechnology23, 075201 (2012).

111. Strukov, D. B. & Williams, R. S. Exponential ionic drift: fast switching and low volatility of thin-film memristors. Appl. Phys. A 94, 515–519 (2009).

112. Zhirnov, V. V. et al. Memory devices: Energy-space-time tradeoffs. Proc. IEEE 98, 2185–2200 (2010).

REVIEW ARTICLE

NATURE NANOTECHNOLOGY DOI: 10.1038/NNANO.2012.240

113. Zhirnov, V. V., Meade, R., Cavin, R. K. & Sandhu, G. Scaling limits of resistive memories. Nanotechnology22, 254027 (2011).

114. Mott, N. F. & Gurney, R. W. Electronic Processes in Ionic Crystals 2nd edn, (Dover, 1940).

115. Pickett, M. D. et al. Switching dynamics in titanium dioxide memristive devices. J. Appl. Phys.106, 074508 (2009).

116. Ielmini, D., Nardi, F. & Balatti, S. Evidence for voltage-driven set/reset processes in bipolar switching RRAM. Electron Devices, IEEE Trans. on

59, 2049–2056 (2012).

117. Noman, M., Jiang, W., Salvador, P., Skowronski, M. & Bain, J. Computational investigations into the operating window for memristive devices based on

homogeneous ionic motion. Appl. Phys. A102, 877–883 (2011).

118. Strukov, D. & Williams, R. An ionic bottle for high-speed, long-retention memristive devices. Appl. Phys. A102, 1033–1036 (2011).

119. ITRS International Technology Roadmap for Semiconductors, 2011 edn;

https://www.wendangku.net/doc/ba10569642.html,

120. Kim, K-H. et al. A functional hybrid memristor crossbar-array/CMOS system for data storage and neuromorphic applications. Nano Lett.12, 389–395 (2012).

This article experimentally demonstrated1 Kb hybrid CMOS/memristor

passive crossbar memory.

121. Kawahara, A. et al. An 8 Mb multi-layered cross-point ReRAM macro with 443MB/s write throughput. Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2012 IEEE Int. 432–434 (2012).

122. Strukov, D. B. & Likharev, K. K. Reconfigurable nano-crossbar architectures, in Nanoelectronics (ed. Waser, R.) (in the press, 2012).

123. Snider, G. S. & Williams, R. S. Nano/CMOS architectures using a field-programmable nanowire interconnect. Nanotechnology18, 035204 (2007). 124. Strukov, D. B. & Likharev, K. K. CMOL FPGA: a reconfigurable architecture for hybrid digital circuits with two-terminal nanodevices. Nanotechnology

16, 888 (2005).

125. Kaeriyama, S. et al. A nonvolatile programmable solid-electrolyte nanometer switch. Solid-State Circuits, IEEE Journal of40, 168–176 (2005).

126. Y oung Yang, L., Zhiping, Z., Wanki, K., Gamal, A. E. & Wong, S. S. Nonvolatile 3D-FPGA with monolithically stacked RRAM-based configuration memory.

Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2012 IEEE Int. 406–408 (2012).

127. Xia, Q. F. et al. Memristor-CMOS hybrid integrated circuits for reconfigurable logic. Nano Lett.9, 3640–3645 (2009).

This article experimentally demonstrated100 nm-gate scale hybrid CMOS/ memristor logic.

128. Borghetti, J. et al. ‘Memristive’ switches enable ‘stateful’ logic operations via material implication. Nature464, 873–876 (2010).

129. Holmes, A. J. et al. Use of a-Si:H memory devices for non-volatile weight storage in artificial neural networks. J. Non-Cryst. Solids164–166, Part 2,

817–820 (1993).

130. Jo, S. H. et al. Nanoscale memristor device as synapse in neuromorphic systems. Nano Lett.10, 1297–1301 (2010).

131. Alibart, F. et al. An organic nanoparticle transistor behaving as a biological spiking synapse. Adv. Funct. Mater.20, 330–337 (2010).

132. Kuzum, D., Jeyasingh, R. G. D., Lee, B. & Wong, H. S. P. Nanoelectronic programmable synapses based on phase change materials for brain-inspired computing. Nano Lett.12, 2179–2186 (2012).

133. Ohno, T. et al. Short-term plasticity and long-term potentiation mimicked in single inorganic synapses. Nature Mater.10, 591–595 (2011).

134. Likharev, K. K. CrossNets: Neuromorphic hybrid CMOS/nanoelectronic networks. Sci. Adv. Mater.3, 322–331 (2011).

135. Strukov, D. B. & Likharev, K. K. Defect-tolerant architectures for nanoelectronic crossbar memories. J. Nanosci. Nanotechnol.7, 151–167 (2007). 136. Turel, O., Lee, J. H., Ma, X. L. & Likharev, K. K. Neuromorphic architectures for nanoetectronic circuits. Int. J. Circ. Theory App.32, 277–302 (2004). 137. Lee, J. H. & Likharev, K. K. Defect-tolerant nanoelectronic pattern classifiers.

Int. J. Circuit Theory and Applications35, 239–264 (2007).

138. Strachan, J. P., Torrezan, A. C., Medeiros-Ribeiro, G. & Williams, R. S.

Measuring the switching dynamics and energy efficiency of tantalum oxide

memristors. Nanotechnology22, 505402 (2011).

139. Torrezan, A. C., Strachan, J. P., Medeiros-Ribeiro, G. & Williams, R. S. Sub-nanosecond switching of a tantalum oxide memristor. Nanotechnology

22, 485203 (2011).140. Chen, A. et al. Non-volatile resistive switching for advanced memory applications, in IEEE Int. Electron Devices Meeting 2005, Technical Digest

765–768 (IEEE, 2005).

141. Yang, J. J. et al. Engineering nonlinearity into memristors for passive crossbar applications. Appl. Phys. Lett.100, 113501 (2012).

142. Govoreanu, B. et al. 10 × 10 nm2 Hf/HfO x crossbar resistive RAM with excellent performance, reliability and low-energy operation. Electron Devices Meeting

(IEDM), 2011 IEEE Int. 31.36.31–31.36.34 (2011).

This article demonstrated functioning memristive devices at the 10 nm scale. 143. Likharev, K., Mayr, A., Muckra, I. & Türel, ?. CrossNets: High-performance neuromorphic architectures for CMOL circuits. Ann. NY Acad. Sci.

1006, 146–163 (2003).

144. Lee, J. et al. Diode-less nano-scale ZrO x/HfO x RRAM device with excellent switching uniformity and reliability for high-density cross-point memory

applications. Tech. Dig. IEEE Int. Electron Devices Meeting, 452–455 (2010). 145. Kim, G. H. et al. Schottky diode with excellent performance for large integration density of crossbar resistive memory. Appl. Phys. Lett.100, 213508 (2012).

146. Puthentheradam, S., Schroder, D. & Kozicki, M. Inherent diode isolation in programmable metallization cell resistive memory elements. Appl. Phys. A

102, 817–826 (2011).

147. Linn, E., Rosezin, R., Kugeler, C. & Waser, R. Complementary resistive switches for passive nanocrossbar memories. Nature Mater.9, 403–406 (2010). 148. Alexandrov, A. S. et al. Current-controlled negative differential resistance due to Joule heating in TiO2. Appl. Phys. Lett.99, 202104 (2011).

149. Liu, X. et al. Diode-less bilayer oxide (WO x–NbO x) device for cross-point resistive memory applications. Nanotechnology22, 475702 (2011).

150. Chang, S. H. et al. Oxide double-layer nanocrossbar for ultrahigh-density bipolar resistive memory. Adv. Mater.23, 4063–4067 (2011).

151. Burr, G. W. et al. Large-scale (512 kbit) integration of multilayer-ready access-devices based on mixed-ionic-electronic-conduction (MIEC) at 100% yield.

VLSI Technology (VLSIT), 2012 Symposium on, 41–42 (IEEE, 2012).

152. Szot, K. et al. TiO2 — a prototypical memristive material. Nanotechnology 22, 254001 (2011).

153. Likharev, K. K. Hybrid CMOS/nanoelectronic circuits: Opportunities and challenges. J. Nanoelectron. Optoelectron.3, 203–230 (2008).

154. Strukov, D. B. & Williams, R. S. Four-dimensional address topology for circuits with stacked multilayer crossbar arrays. Proc. Natl Acad. Sci. USA106, 20155–20158 (2009).

155. Dong, X. Y., Xu, C., Xie, Y. & Jouppi, N. P. NVSim: A circuit-level performance, energy, and area model for emerging nonvolatile memory. IEEE Trans. on Computer-Aided Des. Integrated Cir. Sys.31, 994–1007 (2012).

Acknowledgments

We are deeply grateful to our scientific colleagues H. Akinaga, M. Aono, A. Chen,

D. M. Chen, I. W. Chen, L. O. Chua, R. Dittmann, T. Hasegawa, R. Huang, C. S. Hwang, D. Ielmini, S. M. Kang, M. N. Kozicki, K. K. Likharev, M. Liu, W. Lu, T. P. Ma, M. J. Marinella, R. L. MccReery, S. Menzel, T. W. Noh, S. S. P. Parkin, L. P. Shi,

M. Skowronski, J. M. Tour, I. Valov, M. Di Ventra, P. H. S. Wang, R. Waser, Y. Yang

and V. Zhirnov for their insightful comments and valuable suggestions on the work

of this Review. None of this memristor work would have succeeded without our

H. P. Labs former and current colleagues, especially R. S. Williams, G. S. Snider, and certainly P. J. Kuekes, and we thank A. M. Bratkovsky, Y. Chen, B. J. Choi, I. Goldfarb, G. Medeiros-Ribeiro, F. Miao, J. H. Nickel, D. A. A. Ohlberg, M. D. Pickett, J. P. Strachan, A. Torrezan, Q. F. Xia, S. Y. Wang, W. Wu, W. Yi and M-X. Zhang for their repeated contributions to the memristor field and to our own understanding of this work and this Review. D. B. Strukov is supported by the Air Force Office of Scientific Research (AFOSR) under the MURI grant FA9550-12-1-0038.

Additional information

Supplementary information is available in the online version of the paper. Reprints and permission information is available online at https://www.wendangku.net/doc/ba10569642.html,/reprints. Correspondence and requests for materials should be addressed to J.J.Y., D.B.S. or D.R.S.

Competing financial interests

The authors declare no competing financial interests.

REVIEW ARTICLE NATURE NANOTECHNOLOGY DOI: 10.1038/NNANO.2012.240

相关文档
相关文档 最新文档