Verilog HDV
数字设计与综合
实验报告
微电子0901班
姓名:袁东明 _
学号:_04094026
一、实验课题:
1.八选一数据选择器
2.四位数据比较器
二、八选一数据选择器Verilog程序:
2.1主程序
module option(a,b,c,d,e,f,g,h,s0,s1,s2,out);
input [2:0] a,b,c,d,e,f,g,h;
input s0,s1,s2;
output [2:0] out;
reg [2:0] out;
always@(a or b or c or d or e or f or g or h or s0 or s1 or s2) begin
case({s0,s1,s2})
3'd0 : out=a;
3'd1 : out=b;
3'd2 : out=c;
3'd3 : out=d;
3'd4 : out=e;
3'd5 : out=f;
3'd6 : out=g;
3'd7 : out=h;
endcase
end
endmodule
2.2激励程序
module sti;
reg [2:0] A,B,C,D,E,F,G,H;
reg S0,S1,S2;
wire [2:0] OUT;
option dtg(A,B,C,D,E,F,G,H,S0,S1,S2,OUT);
initial
begin
A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=0;
#100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=1;
#100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=1;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=1;S2=1; end
endmodule
三、四位数据比较器
3.1主程序
module fourcompare(a,b,c);
input[3:0] a,b;
output [1:0] c;
reg[1:0] c;
always@(a or b)
begin
if(a>b)
c=2'd2;
else if(a
c=2'd1;
else
c=2'd0;
end
endmodule
3.2激励程序
module sti;
reg [3:0] A,B;
wire [1:0]C;
fourcompare fte(A,B,C);
initial
begin
A=2'd0;B=2'd1;
#100 A=2'd2;B=2'd1;
#100 A=2'd1;B=2'd1;
end
endmodule
四、实验波形图截图:
4.1八选一数据选择器
4.2四位数据比较器
四、波形分析及实验心得:
4.1.波形分析
1.八选一数据选择器
输入数据为A=000,B=001,C=010,D=011,E=100,F=101,g=110,h=111;S0,S1,S2,为选择控制端,它们组成一个三位数,记为enable,控制数据的输出,其中S0为最高位,S1次之,S2为最低位。当enable=000,输出A; enable= 001,输出B;enable= 010,输出C;enable= 011,输出D;enable= 100,输出E;enable= 101,输出F;enable= 110,输出G;enable= 111,输出H
观察波形当enable=000时,输出为000即A;当enable=001时,输出为001,即B;当enable=010时,输出为010即C;当enable=011时,输出为011即D;当enable=100时,输出为100即E;当enable=101时,输出为101,即F;当enable=110时,输出为110即G;当enable=111时,输出为111即G,其结果与理论结果相吻合故验证该设计是正确的。
2.四位数据选择器
该设计要求比较两个四位数的大小A、B,输出结果为A>B(10)、A=B(00)或A
观察波形当输入数据当A=0000,B=0001,输出结果为01
当A=0010,B=0001,输出结果为10
当A=0001,B=0001,输出结果为00
经分析其仿真结果与理论相符合,说明了其设计的正确性。
4.2 实验心得
这次实验与上次相比有明显的进步,通过这次实验我对modelsim的应用更加得心应手,深切的体会到了verilog是一种描述性语言,这次实验总的来说是比较顺利的,但在实验过程中还是遇到了一些问题,比如端口的匹配问题,在写程序的时候误将位宽写在了变量名的后面,虽然程序能够运行但有警告,仿真波形是错误的,可见在写程序时警告有时也是致命的,这要求我们在学习的过程中思想一定要严谨!其次在做实验时一定要多想,例如在学习这门课时,书上说在模块外部输入可以是wire型或reg型,但在写程序时激励模块往往要初始化数据,所以编程时其类型往往声明为reg型,通过这个例子我明白了书上所说的有时往往是一个比较笼统的,而更多的需要我们自己去实践、探索、勤思考,只有这样我们才能把书本上的知识转化为属于我们自己的知识,才能在学习的道路上走的更远!
实验报告 课程名称:___模拟电子技术实验____________指导老师:_ ___ _成绩:__________________ 实验名称:________实验类型:_EDA___________同组学生姓名:__ __ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 一. 实验目的 1.了解电压比较器与运算放大器的性能区别; 2.掌握电压比较器的结构及特点; 3.掌握电压比较器电压传输特性的测试方法; 4.学习比较器在电路设计中的应用。 二. 实验内容 1 .过零电压比较器 2 .单门限电压比较器 3 .滞回电压比较器 4 .窗口电压比较器 5 .三态电压比较器 三.实验原理 比较器的输出结构 集电极开路输出比较器 集电极/发射极开路输出比较器
漏极开路输出比较器 推挽式输出比较器 ● 过零电压比较器电路 : 过零电压比较器是电压比较电路的基本结构,它可将交流信号转化为同频率的双极性矩形波。常用于测量正弦波的频率相位等。当输入电压 时,输出 ;反之,当输入电压 时,输出 。 ● 基本单门限比较器电路 单门限比较器的输入信号V in 接比较器的同相输入端,反相输入端接参考电压V ref (门限电平) 。当输入电压V in >V ref 时,输出为高电平V OH ;当输入电压V in 85 专业:电气工程卓越 人才 姓名:卢倚平 学号: ________ 验 … 一 二、实验内容 五、思考题及实验心得 一、实验目的 了解电压比较器与运算放大器的性能区别: 二、实验数据记录、处理与分析 ①【过零电压比较器电路】 过零电压比较器是电压比较电路的基本结构,它可将交流信号转化为同频率 的双极性矩形波。常用于测量正弦波的频率相位等。当输入电压in< 输出out = 0L ;反之,当输入电压in N out 时,输出out = OH 。 实验仿真: 课程名称: 电路打电r 技术实於 指导老师: 周箭 成绩: 实验名称: 电压比较器及其应用 实验类型: 电子电路实验同组 学生姓名: 邓江毅 三、主要仪器设备 四、实验数据记录、处理与分析 一、实验目的 2. 举握电压比较器的结构及特点; 3. 掌握电压比较器电圧传输特性的测试方法: 4. 学习比较器在电路设计中的应用。 不疲器?5(£C1I JS J 时同270.001ms 270.001 ms 0.000s JIf 「反向—] 通道 上 ?4.998 V -4.998 V 0.000 V 通道丿 -17.847V -17.847 V 0.000 V H as 12^1 时基_ 标度:10 msX)iv X轴位移(格):0 通ilA 刻度: 20 VQ2 Y轴位移 (格):0 通ilB ____ 刻度:5 VQiv Y轴位移 (榆:0 L保Q外触发 触发 边沿:SB 0回国] 水 平:0 ~ 实测实验记录: 由于时间不足,没有做过零比较器的相关实测 ②【基本单门限比较器电路】 单门限比较器的输入信号Vin接比较器的同相输入端,反相输入端接参考电 压Vref (门限电平)。当输入电压Vin>Vref 输出为高电平VOH:当输入电压Vin `实验报告 课程名称:电路与电子技术实验指导老师:成绩: 实验名称:电压比较器及其应用实验类型:电子电路实验同组学生姓名: 一、实验目的二、实验内容 三、主要仪器设备四、实验数据记录、处理与分析 五、思考题及实验心得 一、实验目的 1.了解电压比较器与运算放大器的性能区别; 2.掌握电压比较器的结构及特点; 3.掌握电压比较器电压传输特性的测试方法; 4.学习比较器在电路设计中的应用。 二、实验内容及原理 实验内容 1.设计过零电压比较器电路,反相输入端接地,同相输入端接1kHz、1V正弦波信号,测量并绘制输出波形和电压传输特性曲线。 2.设计单门限电压比较器电路,同相输入端接1V直流电压,反相输入端接1kHz、1V正弦波信号,测量3.并绘制输出波形和电压传输特性曲线。 4.设计反相输入(下行)滞回电压比较器,反相输入端接1kHz、1V正弦波信号,测量并绘制输出波形 和电压传输特性曲线。 5.设计窗口电压比较器电路,输入为1kHz、5V三角波信号,设置参考电压Vref1为1V直流电压,参考电压Vref2为4V直流电压,测量并绘制输出波形和电压传输特性曲线。 6.设计三态电压比较器电路,输入电压信号Vin为1kHz、5V三角波信号,当输入Vin 数值比较器电路的仿真分析及应用 程勇 陈素 陈淑平 (机电信息工程系 实训中心 450008) 摘要:数值比较器是数字电路中经常用到的典型电路,传统的教学模式中,对数值比较器的学习及应用设计,离不开在实验室中的电路调试,学习方式较为枯燥抽象,又耗时费力,学习效果也不尽理想。现代电子设计中,由于仿真软件的出现,变抽象的知识为直观的展示,既可以通过仿真学习数值比较器的工作原理,又可以通过仿真进行数值比较器的应用设计,学习及应用效果事半功倍。 关键词:数值比较器、仿真分析、应用 在各种数字系统尤其是在数字电子计算机中,经常需要对两个二进制数进行大小判别,然后根据判别结果转向执行某种操作。用来完成两个二进制数的大小比较的逻辑电路称为数值比较器,简称比较器。在数字电路中,数值比较器的输入是要进行比较的两个二进制数,输出是比较的结果。 一.电路设计分析 首先讨论1位数值比较器。1位数值比较器是多位比较器的基础。当A 和B 都是1位二进制数时,它们的取值和比较结果可由1位数值比较器的真值表表示,如表1所示。 表1 1位数值比较器的真值表 由真值表可得如下逻辑表达式 A B A B A B F AB F AB F AB AB A B ><====+=⊕ 由逻辑表达式可以画出如图1所示的逻辑图。 图1 1位数值比较器逻辑图 二.比较器电路的仿真分析 (一)元件选取及电路组成 打开仿真软件Multisim 10,根据图1所示的1位数值比较器逻辑图,可以在仿真软件Multisim 10中构建仿真电路,如 图3所示。 1.元件选取 (1)指示灯的选取 1位数值比较器逻辑运算完后,输出结果处 接一指示灯作为指示,灯亮表示运算结果成立, 灯灭表示运算结果不成立。单击元件栏的Place Indicator→PROBE,选取PROBE_RED指示灯。 为了观察清晰明白,将指示灯PROBE连击打开其图2 指示灯的Label设置 设置对话框,在其Label中的标号由默认的X1改为“A等于B”、“A大于B”、“A 小于B”等。如图2所示。 (2)其他元器件可参照以下说明取用。 电源VCC:Place Source→POWER_SOURCES→VCC 接地:Place Source→POWER_SOURCES→GROUND,选取电路中的接地。 或非门U1A的选取:Place TTL→74LS→74LS02D 与门U3A、U5A的选取:Place TTL→74LS→74LS08D 非门U2 A、U4A的选取:Place TTL→74LS→74LS04N 2.电路组成 参照图3放置元件并进行连接,构成1位数值比较器的仿真测试电路。 (二)仿真分析 Verilog HDV 数字设计与综合 实验报告 微电子0901班 姓名:袁东明 _ 学号:_04094026 一、实验课题: 1.八选一数据选择器 2.四位数据比较器 二、八选一数据选择器Verilog程序: 2.1主程序 module option(a,b,c,d,e,f,g,h,s0,s1,s2,out); input [2:0] a,b,c,d,e,f,g,h; input s0,s1,s2; output [2:0] out; reg [2:0] out; always@(a or b or c or d or e or f or g or h or s0 or s1 or s2) begin case({s0,s1,s2}) 3'd0 : out=a; 3'd1 : out=b; 3'd2 : out=c; 3'd3 : out=d; 3'd4 : out=e; 3'd5 : out=f; 3'd6 : out=g; 3'd7 : out=h; endcase end endmodule 2.2激励程序 module sti; reg [2:0] A,B,C,D,E,F,G,H; reg S0,S1,S2; wire [2:0] OUT; option dtg(A,B,C,D,E,F,G,H,S0,S1,S2,OUT); initial begin A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=1; 四选一数据选择器源程序 Library ieee; Use ieee.std_logic_1164.all; Entity mux4_2 is Port (din: in std_logic_vector(3 downto 0); a,b : in std_logic; S: out std_logic); End; Architecture with_when of mux4_2 is Signal sel : std_logic_vector(1 downto 0); Begin Sel<=a&b; S<=din(0) when sel=“00”else din(1)when sel= “01” else din(2)when sel= “10” else din(3);---见程序说明。 Architecture with_select of mux4 is Signal sel :std_logic_vector(1 downto 0); begin sel<=a&b; with sel select s<=din(0) when “00”, s<=din(1) when “01”, s<=din(2) when “10”, s<=din(3) when “11”, …Z?when others; End; 程序说明: 1.本程序中含有两个结构体,with_when和with_select,max+plus软 件系统自动执行几何位置处于最后的机构体with_select. 2.结构体with_when是用并行条件信号赋值语句描述四选一数据选 择器。注意,最后一个输出din(3)不含有when子句;在s表达式中只有一个分号(;)。 3.结构体with_select.是用并行选择信号赋值语句描述四选一数据选 择器。注意,选择信号赋值语句中选择条件与case语句相似,不允许条件重叠和涵盖不全。由于a,b的值除了‘1’‘0’外,还有其他7个值,所以要用when others代表其他值,以穷尽所有可能值。 4.同一个设计任务,可以用不同的语句进行描述, 5.本程序中din为输入4位矢量信号。 实例2 3线----8线译码器 一、设计任务 描述一个3线-8线译码器,使能端为g1、g2a、g3b,地址选择端为a、 b、c,输出端为总线y。 二、算法设计 `实验报告 课程名称: 电路与电子技术实验 指导老师: 周箭 成绩: 实验名称: 电压比较器及其应用 实验类型: 电子电路实验 同组学生姓名: 邓江毅 一、实验目的 二、实验内容 三、主要仪器设备 四、实验数据记录、处理与分析 五、思考题及实验心得 一、实验目的 1.了解电压比较器与运算放大器的性能区别; 2.掌握电压比较器的结构及特点; 3.掌握电压比较器电压传输特性的测试方法; 4.学习比较器在电路设计中的应用。 二、实验数据记录、处理与分析 ① 【过零电压比较器电路】 过零电压比较器是电压比较电路的基本结构,它可将交流信号转化为同频率的双极性矩形波。常用于测量正弦波的频率相位等。当输入电压 时,输出;反之,当输入电压时,输 出 。 实验仿真: 专业:电气工程卓越人才 姓名: 卢倚平 学号: 3150101215 日期: 4.1 地点: 东3 404 85 实测实验记录: 由于时间不足,没有做过零比较器的相关实测 ②【基本单门限比较器电路】 单门限比较器的输入信号Vin 接比较器的同相输入端,反相输入端接参考电压Vref(门限电平)。当输入电压Vin>Vref 时,输出为高电平VOH;当输入电压Vin 2位二进制数据比较器实验报告 一 实验目的? 1.熟悉Quartus II 软件的基本操作 2.学习使用Verilog HDL 进行设计输入 3.逐步掌握软件输入、编译、仿真的过程 二 实验说明? 输入信号 输出信号 A1 A0 B1 B0 EQ LG SM 0 0 0 0 1 0 0 0 0 0 1 0 0 1 0 0 1 0 0 0 1 0 0 1 1 0 0 1 0 1 0 0 0 1 0 0 1 0 1 1 0 0 0 1 1 0 0 0 1 0 1 1 1 0 0 1 1 0 0 0 0 1 0 1 0 0 1 0 1 0 1 0 1 0 1 0 0 1 0 1 1 0 0 1 1 1 0 0 0 1 0 1 1 0 1 0 1 0 1 1 1 0 0 1 0 1 1 1 1 1 逻辑表达式: 三 实验要求? 1、完成2位二进制数据比较器的Verilog HDL 程序代码输入并进行仿真 2、采用结构描述方式和数据流描述方式 3、完成对设计电路的仿真验证 A1 A0 EQ B1 comp_2 LG B0 SM 本次实验是要设计一个2位的二进制数据比较器。该电路应有两个数据输入端口A 、B ,每个端口的数据宽度为2 ,分别设为A0、A1和B0、B1、A0、B0为数据低位, 、B1为数据高位。电路的输出端口分别为EQ (A=B 的输出信号)、LG (A>B 时的输出信号)和SM (A 四、实验过程 1 程序代码 (1) module yangying(A,B,EQ,LG,SM); input [1:0]A,B; output EQ,LG,SM; assign EQ=(A==B)1'b1:1'b0; assign LG=(A>B)1'b1:1'b0; assign SM=(AB) begin EQ<=1'b1; LG<=1'b0; SM<=1'b0; end else begin EQ<=1'b0; LG<=1'b0; SM<=1'b1; end end endmodule 2 仿真结果 五、实验体会 通过2位二进制数据比较器的设计,使我们更加熟悉Quartus 软件进行数字系统设计的步骤,以及运用Verilog HDL进行设计输入,并掌握2位二进制数据比较器的逻辑功能和设计原理,逐步理解功能仿真和时序仿真波形。 《集成电路设计实践》报告 题目:8选1数据选择器 院系:自动化学院电子工程系 专业班级:微电121班 学生学号:3120433003 学生姓名:王瑜 指导教师姓名:王凤娟职称:讲师起止时间:2015-12-21---2016-1-9 成绩: 一、设计任务 1) 依据8选1数据选择器的真值表,给出八选一MUX电路图,完成由电路图到晶体管级的转化(需提出至少2种方案); 2) 绘制原理图(Sedit),完成电路特性模拟(Tspice,瞬态特性),给出电路最大延时时间; 3) 遵循设计规则完成晶体管级电路图的版图,流程如下:版图布局规划-基本单元绘制-功能块的绘制-布线规划-总体版图); 4) 版图检查与验证(DRC检查); 5) 针对自己画的版图,给出实现该电路的工艺流程图。 二、电路设计方案的确定 数据选择器是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去,实现数据选择功能的逻辑电路称为数据选择器。它的作用相当于多个输入的单刀多掷开关,其示意图如下所示 数据选择器除了可以实现一些组合逻辑功能以外,还可以做分时多路传输电路,函数发生器及数码比较器 等,常见的数据比较器有2选1,4选1,8选1,16选1电路。 示意图 在多路数据传送过程中,能够根据需要将其中任意一路选出来的电路,叫做数据选择器。 数据选择器(MUX)的逻辑功能是在地址选择信号的控制下,从多路数据中选择一路数据作为输出信号。 本次设计的是8选1数据选择器。选择控制端(地址端)为K2,K1,K0,按二进制译码,从8个输入数据D0-D7中,选择一个需要的数据送到输出端Y。 根据多路开关的开关状态(地址码)K2,K1,K0的状态选择D0-D7中某一个通道的数据输送到输出端Y。 如:K2K1K0=000,则选择D0数据到输出端,即Y=D0。 如:K2K1K0=001,则选择D1数据到输出端,即Y=D1,其余类推。 8选1 MUX功能表如下: K2 K1 K0 Y 0 0 0 D0 0 0 1 D1 0 1 0 D2 0 1 1 D3 1 0 0 D4 1 0 1 D5 1 1 0 D6 1 1 1 D7 实验五电压比较器实验 一、实验目的 熟练掌握用运算放大器构成比较器电路的特点。 学会测试比较器的方法。 二、实验设备 1.TX0833 19电源板(±15v) 2.双踪示波器 3.TX0531 29多功能信号发生器 4.交流毫伏表 5.TX0531 18直流电压表 6.TX0833 04运算放大器实验板 7.TX0533 25双路直流稳压电源 三、实验内容 1.过零电压比较器。 (1)按图5-1联接好过零电压比较器电路。 (2)测量u i未输入信号且悬空时的u O值。 (3)u i输入f=500Hz,幅值为2V的正弦信号,用双踪示波器观测u i、u O的波形,并将其记入表5-1 表5-1 f=500Hz u i=2V (4)改变输入信号u i的幅值,可由双路可调稳压电源提供下面表5-2的一组u i的电平值,测量传输特性曲线,并将其记入表5-2,并将曲线描绘于下面的直角坐标中。 表5-2 *(5)如果a,b端跨接稳压管,或b端对地接稳压管,其传输特性曲线如何?可用示波器观察并记录。此实验参考电路如图5-2 2.任意电平比较器。 u OH = +15V u OL = -15V 按图5-3联接好任意电平的比较器电路。 令u R =2V ,按表5-3,使u i 为表中所列的一组电压数值,测u O 的电压数值,将其记入表5-3 令u R =-2V ,按表5-3,使u i 为表中所列的一组电压数值,测u O 的电压数值,将其记入表5-3 表5-3 (1)按图5-4联接好滞后电压比较器。 (2)按照前面的比较器实验经验,自行构思,并用示器来观测,不难发现滞后电压比较器为一具有上、下门限电平的比较器。这里提供给大家上、下门限值的计算公式,供实验中参考。 当输出电压为u OH 时,同相端的电压为2 12f f OH R f f R R V V V R R R R '=?+?++(上门限) 模电实验报告 实验 集成运放基本应用电压比较器 姓名: 学号: 班级: 院系: 指导老师: 2016年月日星期 目录 实验目的: (2) 实验器件与仪器: (2) 实验原理: (3) 实验内容: (4) 实验:集成运放基本应用电压比较器 实验目的: 1.掌握比较器的电路构成及特点。 2.学会测试比较器的方法。 实验器件与仪器: 实验原理: 电压比较器的功能是比较两个电压的大小。例如,将一个信号电压Ui和另一个参考电压Ur进行比较,在Ui>Ur和Ui 电压传输特性曲线 2、滞回电压比较器 滞回电压比较器是由集成运放外加反馈网络构成的正反馈电路,Ui为信号电压,Ur为参考电压值,输出端的稳压管使输出的高低电平值为±Uz。 电压传输特性曲线 可以看出,当输入电压从低逐渐升高或从高逐渐降低经过0电压时,Uo会从一个电平跳变为另一个电平,称0为过零比较器的阈值。阈值定义为当比较器的输出电平从一个电平跳变到另一个电平时对应的输入电压值。 实验内容: 1.过零比较器 (1)按图接线Vi悬空时测Vo的电压。 实验测得Vi悬空时测Vo的电压为3.8154V。 (2) Vi输入500HZ有效值为1V的正弦波,观察Vi和Vo波形并记录。 (3)改变Vi幅值,观察Vo变化。 增大Vi值测得Vi和Vo波形如下: 当Ui<0时,由于集成运放的输出电压Uo’=+Uom,使稳压管D2工作在稳压状态,所以输出电压Uo=Uz;当Ui>0时,由于集成运放的输出电压Uo’=-Uom,使稳压管D1工作在稳压状态,所以输出电压Uo=-Uz。 2.反相迟滞比较器 令狐采学创作 电子技术课程设计报告 令狐采学 题目:4位数值比较器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师: 机械与电气工程学院制 2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1 课程设计的任务 采用Multisim 12.0软件实现4位数值比较器的设计与仿真。 1.2 课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74Ls85集成数值比较器。 (3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A0与B3B2B1B0进行比较。从A的最高位A3和B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2=B2,余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道:FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1 +FA3=B3FA2=B2FA1=B2FA0>B0+FA3=B3FA2=B2FA1=B1 FA0=B0IA>B (2-1) FAB、IAB、IAB=IA 实验十二电压比较器 学院:信息科学与技术学院专业:电子信息工程 姓名:刘晓旭 学号:2011117147 一.实验目的 1.掌握电压比较电路的分析及计算 2.学会测试电压比较器的方法 二.实验仪器 双踪示波器,信号发生器,数字发生器,直流电源 三.预习要求 1.复习电压比较器的工作原理 2.计算图1实验电路的阈值,画出电路的电压传输特性曲线 3.分析各实验电路,画出当输入为正弦波时的输出波形图。 4.根据实验内容自拟实验数据记录表格。 四.实验原理 电压比较器(通常称为比较器)的功能是比较两个电压的大小。例如,将 一个信号电压u i 和另一参考电压U R 进行比较,在u I >U R 和u I 0 时,u o 为低电平 u i < 0 时,u o 为高电平 集成运放输出的高低电平值一般为最大输出正负电压值U 0m 。 图1.过零比较器 2.滞回电压比较器 滞回电压比较器是由集成运放外加反馈网络构成的正反馈电路,如图 2 所示。 u i 为信号电压,U R 为参考电压值,输出端的稳压管使输出的高低电平值为±U Z 。可以看出,此电路形成的反馈为正反馈电路。 图2反相滞回电压比较器 电压比较器的特性可以用电路的传输特性来描述,它是指输出电压的关系曲线,如图1(b)为过零比较器的电压传输特性曲线。 可以看出,当输出电压从低逐渐升高或从高逐渐降低讲过0电压时,u o 会从一个电平跳变为另一个电平,称0为过零比较器的阈值。阈值定义为当比较器的输出电平从一个电平跳变到另一个电平时对应的输入电压值。 滞回电压比较器的电压传输特性曲线如图2(b)所示。 曲线表明,当输入电压由低向高变化,经过阈值U TH1时,输出电平由高电平跳变为低电平。 3 221 R R U R U Z TH += 当输入电压从高向低变化经过阈值U TH2时,输出电压由低电平跳变为高电平, 3 222R R U R U Z TH +-= 3.电压比较器的测试 测试过零比较器时,可以用一个低频的正弦信号输入至比较器中,直接用双踪示波器监视输出和输入波形,当输入信号幅度适中时,可以发现输入电压大于0,小于0时,输出的高低电平变化波形,即将正弦波变换成方波。 滞回电压比较器测试时也可以用同样的方法,但是在示波器上读取上下阈值 实验报告 课程名称:电路与电子技术实验指导老师:成绩: 实验名称:电压比较器及其应用实验类型:电子电路实验同组学生姓名: 一、实验目的二、实验内容 三、主要仪器设备四、实验数据记录、处理与分析 五、思考题及实验心得 一、实验目的 1.了解电压比较器与运算放大器的性能区别; 2.掌握电压比较器的结构及特点; 3.掌握电压比较器电压传输特性的测试方法; 4.学习比较器在电路设计中的应用。 二、实验内容及原理 实验内容 1.设计过零电压比较器电路,反相输入端接地,同相输入端接1kHz、1V正弦波信号,测量并绘制输出 波形和电压传输特性曲线。 2.设计单门限电压比较器电路,同相输入端接1V直流电压,反相输入端接1kHz、1V正弦波信号,测 量3.并绘制输出波形和电压传输特性曲线。 4.设计反相输入(下行)滞回电压比较器,反相输入端接1kHz、1V正弦波信号,测量并绘制输出波形 和电压传输特性曲线。 5.设计窗口电压比较器电路,输入为1kHz、5V三角波信号,设置参考电压Vref1为1V直流电压,参 考电压Vref2为4V直流电压,测量并绘制输出波形和电压传输特性曲线。 6.设计三态电压比较器电路,输入电压信号Vin为1kHz、5V三角波信号,当输入Vin 专业:电气工程卓越人 才 `实验报告 课程名称:电路与电子技术实验指导老师:周箭成绩: 实验名称:电压比较器及其应用实验类型:电子电路实验同组学生姓名: 邓江毅 一、实验目的二、实验内容 三、主要仪器设备四、实验数据记录、处理与分析 五、思考题及实验心得 一、实验目的 1.了解电压比较器与运算放大器的性能区别; 2.掌握电压比较器的结构及特点; 3.掌握电压比较器电压传输特性的测试方法; 4.学习比较器在电路设计中的应用。 二、实验数据记录、处理与分析 ①【过零电压比较器电路】 过零电压比较器是电压比较电路的基本结构,它可将交流信号转化为同频率的双极性 矩形波。常用于测量正弦波的频率相位等。当输入电压V in≤V out时,输出V out=V OL; 反之,当输入电压V in≥V out时,输出V out=V OH。 实验仿真: 85 实测实验记录: 由于时间不足,没有做过零比较器的相关实测 ②【基本单门限比较器电路】 单门限比较器的输入信号Vin 接比较器的同相输入端,反相输入端接参考电压Vref (门限电平)。当输入电压Vin>Vref 时,输出为高电平VOH;当输入电压Vin 实验仿真 实测实验记录 (未接上拉电阻) (接了上拉电阻) (电压传输特性曲线) (改变比较电压Vref=2.52V) (改变边角电压Vref=-2.52V) (输入方波) (放大) 改变输入正弦波的频率进行测量: (输入正弦波20KHZ) (输入正弦波50Khz) (输入正弦波100KHZ) (输入正弦波500KHZ) 改用运放LM358: (输入正弦波1KHZ) 实验二 4选1数据选择器的设计 实验学时:2学时 实验类型:设计 实验要求:必做 一、实验目的 通过实验让学生掌握组合逻辑电路的EDA原理图输入设计法,通过电路的仿真和硬件验证,让学生进一步了解4选1数据选择器的功能。 二、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关。数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、16选1等类别。数据选择器的电路结构一般由于活门阵列而成,也有用传输门开关和门电路混合而成的。 图1 4选1数据选择器原理图 图1是一个4选1数据选择器,d3—d0是数据输入端,s1和s0是控制输入端,y是4选1数据输出端。 三、实验内容 设计并实现一个4选1数据选择器,要求根据原理图写出它的逻辑关系,并利用开发工具软件对其进行编译和仿真,最后通过实验开发系统对其进行硬件验证。 四、实验步骤 1)在Maxplus2的图形编辑方式下,从prim元件库中调出4选1数据选择器电路所需要的元件。并按照图1所示的原理电路,完成4选1数据选择器原理图的输入设计。 2)保存好原理图文件,以为文件名保存在工程目录中。执行Compiler命令对设计文件进行编译。执行Create Default Symbol命令,可为4选1数据选择器生成一个元件符号。 3)在波形编辑方式下,编辑的波形文件,并完成输入信号d3,d2,d1和d0,控制信号s1和s0电平的设置。波形文件编辑结束后以为波形文件名存盘。执行仿真器Simulator命令,仿真开始,观察仿真波形进行设计电路的功能验证。 五、实验结果 1. 4选1数据选择器的逻辑功能及真值表 2.仿真波形 四 位 数 值 比 较 器 班级:电子信息工程(2)班姓名:林贤款 学号:Xb13610208 时间:2015.12—2015.12 一、实验目的。 1、设计四位二进制码比较器,并在QuantusII上进行仿真。 2、掌握VHDL设计实体的基本结构及文字规则。 二、实验要求。 1、用VHDL语言编写四位二进制码比较器的源文件; 2、对设计进行仿真验证; 三、实验原理。本实验实现要实现两个4位二进制码的比较 器。即当输入为两个4位二进制码和时, 输出为M(A=B),G(A>B)和L(AB时,G处接的二极管亮;当A 五、实验步骤。 1、打开软件。 快捷工具栏:提供设置(setting),编译(compile)等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。 菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。 信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。 2、新建工程。 (1)选择File菜单下New Project Wizard。 (2)输入工作目录和项目名称。 (3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入。 (4)选择设计器件。 (5)选择第三方EDA综合、仿真和时序分析工具。 (6)建立项目完成,显示项目概要。 `实验报告 课程名称: 电路与电子技术实验指导老师: 成绩: 实验名称:电压比较器及其应用实验类型:电子电路实验同组学生姓名: 一、实验目得二、实验内容 三、主要仪器设备?????四、实验数据记录、处理与分析 五、思考题及实验心得 一、实验目得 1.了解电压比较器与运算放大器得性能区别; 2.掌握电压比较器得结构及特点; 3.掌握电压比较器电压传输特性得测试方法; 4.学习比较器在电路设计中得应用。 二、实验内容及原理 实验内容 1。设计过零电压比较器电路,反相输入端接地,同相输入端接1kHz、1V正弦波信号,测量并绘制输出波形与电压传输特性曲线。 2。设计单门限电压比较器电路,同相输入端接1V直流电压,反相输入端接1kHz、1V正弦波信号,测量3。并绘制输出波形与电压传输特性曲线. 4.设计反相输入(下行)滞回电压比较器,反相输入端接1kHz、1V正弦波信号,测量并绘制输出波形与电压传输特性曲线。 5。设计窗口电压比较器电路,输入为1kHz、5V三角波信号,设置参考电压Vref1为1V直流电压,参考电压Vref2为4V直流电压,测量并绘制输出波形与电压传输特性曲线. 6。设计三态电压比较器电路,输入电压信号Vin为1kHz、5V三角波信号,当输入Vin〈Vref2时,输出Vout=VOL;Vin<Vref1时,输出Vout=VOH。 实验原理 电压比较器(简称为比较器)就是对输入信号进行鉴幅与比较得集成器件,它可将模拟信号转换成二值信号,即只有高电平与低电平两种状态得离散信号。可用作模拟电路与数字电路得接口,也可用作波形产生与变换电路等。比较器瞧起来像就是开路结构中得运算放大器,但比较器与运算放大器在电气性能参数方面有许多不同之处。运算放大器在不加负反馈时,从原理上讲可以用作比较器,但比较器得响应速度比运算放大器快,传输延迟时间比运算放大器小,而且不需外加限幅电路就可直接驱动TTL、CMOS等数字集成电路。但在要求不高情况下也可以考虑将某些运算放大器(例如:LM324、LM358、μA741、TL081、OP07、OP27等)当作比较器使用.常见得比较器电路有过零比较器、门限比较器、滞回比较器、窗口比较器与三态比较器等。常用得电压比较器有: LM339、LM393、LM311等. 比较器瞧起来像就是运算放大器得开环应用,运算放大器在不加负反馈时,从原理上讲可以用作比较器,但比较器与运算放大器之间有许多明显得不同之处.因此只有在特殊得情况下,可将运算放大器当作比较器使用。 运算放大器就是一种为在负反馈条件下工作所设计得电子器件,其设计重点就是保证在负反馈条件下得稳定性,压摆率与最大带宽等.通常运算放大器得开环增益非常高,在开环情况下只能处理输入差分电压 电子设计技术课程设计 四位加法器 姓名:黄政 学号:2011059605 班级:通信工程zb421101 指导教师:郑雪娇 2013年12月26日 1 一、设计目的 1. 熟练使用Verilog HDL语言在mux plusⅡ软件平台上编写程序,完成编译工作。 2.学习VHDL程序中数据对象、数据类型、顺序语句、并行语句的综合使用,了解VHDL程序的基本结构。 3.掌握使用EDA工具设计数字系统的设计思路和设计方法。学习VHDL基本逻辑电路的综合设计应用。 4.学习实验开发系统的使用方法。 二、设计内容 1、设计并调试好一个4选1数据选择器。 2、仿真、分析结果、绘制波形 三、设计过程 1、程序的设计 打开mux plusⅡ,单击file,选择new ,选择Editor file类型。进行文本编辑,编辑完成后并以“max4_1.vhd”(注意后缀是.Vhd)为文件名,存在自己建立的工程目录D:\(自己的文件夹)内。进行存盘操作时,系统在弹出的存盘操作对话框中,自动保留了上一次存盘时的文件名和文件目录,不要随意单击“OK”按钮结束存盘,一定要填入正确的文件名并选择正确的工程目录后,才能单击“OK”按钮存盘,这是上机实验时最容易忽略和出错的地方。程序设计内容如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY MUX41A IS PORT(D3,D2,D1,D0,A1,A0,EN:IN STD_LOGIC; Y:OUT STD_LOGIC); END ENTITY MUX41A; ARCHITECTURE ONE OF MUX41A IS BEGIN Y<=D0 WHEN A1='0' AND A0='0' AND EN='1' ELSE D1 WHEN A1='0' AND A0='1' AND EN='1' ELSE D2 WHEN A1='1' AND A0='0' AND EN='1' 竭诚为您提供优质文档/双击可除滞回比较器实验报告结论 篇一:电压比较器实验报告 实验九电压比较器 一实验目的 1、掌握比较器的电路构成及特点 2、学会测试比较器的方法二实验仪器 1、双踪示波器; 2、数字万用表三实验原理 1、图9-1所示为一最简单的电压比较器,uR为参考电压,输入电压ui加在反相输入端。图9-1(b)为(a)图比较器的传输特性。 图9-1电压比较器 当ui 当ui>uR时,运放输出低电平,Dz正向导通,输出电压等于稳压管的正向压降uD,即:uo=-uD。 因此,以uR为界,当输入电压ui变化时,输出端反映两种状态。高电位和低电位。2、常用的幅度比较器有过零比较器、具有滞回特性的过零比较器(又称schmitt触发器)、双限 图9-2为简单过零比较器 图9-2过零比较器1)图9-3为具有滞回特性的过零比较器。 过零比较器在实际工作时,如果ui刚好好在过零值附近,则由于零点漂移的存在,uo将会不断由一个极限值转换到另一个极限值,这在控制系统中,对执行机构将是很不利的。为此就需要输出特性具有滞回现象。如图9-3:图9-3有滞回特性的过零比较器从输出端引入一个电阻分压支路到同相输入端,若uo改变状态,u使过零点离开原来位置。当uo为正(记作uD)u ? 点也随着改变点位, ? ? R2 uD,则当uD>u?Rf?R2 后,uo再度回升到uD,于是出现图(b)中所示的滞回特性。-u为回差。改变R2的数值可以改变回差的大小。2)窗口(双限)比较器 ? 与u ? 的差别称 图9-4两个简单比较器组成的窗口比较器 简单的比较器仅能鉴别输入电压ui比参考电压uR高或低的情况,窗口比较电路是由两个比较器组成,如图9-4所示,它能指示出ui值是否处于uR和uR之间。四、实验内容1、过零电压比较器 (1)如图9-5所示在运放系列模块中正确连接电路,打开直流开关,用万用表测量ui悬空时的uo电压。 (2)从ui输入500hz,峰峰值为2V的正弦信号,用双踪示波器观察ui—uo波形。 ? ? 图9-5过零比较器实验结果:(1)ui悬空时uo=6.82V; (2)uimm=2.083Vf=499.8hZ时,uomm=13.8V;ui-uo 波形如下: 2、反相滞回比较器 图9-6反相滞回比较器 (1)如图9-6所示正确连接电路,打开直流开关,调好一个-4.2V~+4.2V可调直流信号源作为ui,用万用表测量出ui由+4.2V~-4.2V时uo值发生跳变时ui的临界值。(2)同上,测出ui由-4.2V~+4.2V时uo值发生跳变时ui的临界值。电压比较器实验报告
电压比较器实验报告材料
数值比较器的应用
八选一数据选择器和四位数据比较器verilog实验报告)
四选一数据选择器源程序
电压比较器实验报告
2位二进制数据比较器实验报告
八选一数据选择器
模电实验五 电压比较器实验
模电实验报告 九 电压比较器
位数值比较器设计
实验十二 电压比较器
电压比较器实验报告
电压比较器实验报告
实验二4选1数据选择器的设计
四位数值比较器
电压比较器实验报告
4选1数据选择器
滞回比较器实验报告结论