文档库 最新最全的文档下载
当前位置:文档库 › 计算机组成原理重点

计算机组成原理重点

计算机组成原理重点
计算机组成原理重点

第一章计算机系统概述

1.计算机的广义分类。

模拟计算机和电子数字计算机

2.电子数字计算机的分类。

电子数字计算机可以分为专用计算机和通用计算机两类。

3.构成计算机系统的核心部件。

存储器和微处理器

4.存储器存放的内容和表现形式。

0和1,二进制代码

5.存储器的存储容量。

存储器所有存储单元的总数称为存储器的存储容量。

6.磁芯存储器的最大缺点。

破坏性读出

7.冯·诺依曼机型的设计原理。

①计算机硬件由运算器、存储器、控制器、I/O设备构成。

③用二进制数字0和1表示计算机内部指令和数据。

③存储程序和程序控制。 8.冯·诺依曼机的工作方式的基本特点。按地址访问顺序执行指令

9.完整计算机系统的构成。

完整的计算机系统由硬件系统和软件系统构成

10.CPU的核心部件及功能。

运算器,完成算术运算和逻辑运算。

11.现代计算机的运算器的构成。

加法器和各种寄存器构成

12.寄存器的作用。

13.现代计算机系统的三级存储层次结构。

现代计算机存储系统一般由高速缓存、主存和辅存构成

14.控制器功能及构成。

构成:IR,PC,OC

15.取指周期、机器周期、执行周期、指令周期。

①取指周期:指CPU从内存中取出一条指令所需要的时间,通常用机器周期来表示。

②机器周期:指计算机完成一种基本操作所需要的时间。

③执行周期:指CPU执行一条指令所需要的时间。

④指令周期:指CPU从内存取出一条指令并执行完这条指令所需要的时间。16.计算机软件的分类。

计算机软件一般分为系统软件和应用软件。

17.总线的基本概念。

总线是计算机多个系统功能部件之间进行数据传送的公共通路。

单总线结构的特点是:各部件连接在单一的一组总线上。CPU与主存之间、CPU 与外设之间、主存与外设、外设与外设之间都可以直接交换信息,不需要CPU 的干预;多总线结构的特点是CPU、主存、I/O之间互联需要多条总线。CPU与Cache间采用高速CPU总线,主存连在系统总线上;CPU总线、系统总线和高速总线通过“桥”相连;高速总线通过扩充总线接口与扩充总线相连,扩充总线上可以连接串行工作方式的I/O设备。

第二章数据的机器层次表示

1.计算机中的数值数据类型。

无符号数和有符号数,其中无符号数表示的数值范围为非负数,无符号数主要用来表示指令和数据地址,n位无符号数表示的正整数范围为0~2n-1。,最高位作为符号位,“0”为正号“+”,“1”代表负号“-”,其余数位用除数值位代表数值,8位有符号数的整数范围-127~+127

2.机器数。

符号位被数字化的数为机器数,机器数可以用原码,补码,反码和移码表示

3.机器数的原码“0”。

有正负之分[+0]原码=0.。。。0,[-0]原码=10.。。0

4.真值0表示形式唯一的机器数什么?

补码和移码

5.机器数的补码表示。

数符与数字部分可以一起参加运算,做减法运算时转加法进行,补码分纯小数,补码小数点的位置固定在数符之后,数字有效位之前,以2为模,纯整数补码

小数点固定在最低位之后模是2的n+1次方。n+1位的定点小数,其补码表示是-1≤x≤1-2-n。

6.机器数的反码表示。

纯小数反码以2-2-n为模,纯整数反码以2的n+1次方-1为模反码的0有正负之分,[+0]=0000.[-0]=1111

7.由原码求补码和反码。

正数的补码=反码=原码,负数的原码符号位不变数字部分按位取反为反码,反码加一为补码。

8.由负数补码、反码求原码。

负数的补码符号位不变数字为部分按位取反末位加一为原码

9.机器数的定点表示与浮点表示

(1) 机器数的定点表示。

某定点小数X的数符为0,尾数8位全1,其最大正数真值是1-2-8。

某定点小数X的数符为1,尾数8位全0,其最小正数真值是2-8。

(2)机器数的浮点表示法

一个浮点数由阶码和尾数两部分组成规格化浮点数,当尾数m不等于0时,m>=0.5,m的最高有效位为1,对非规格化小数须采用左移或右移小数点来修正阶码E的大小,目的是使尾数m最高位为1.浮点数表示法能够扩大数的表示范围,但可能产生溢出。

(3)浮点数阶码的移码表示法

移码等于符号位取反的补码,补码0表示正,1表示负,移码0表示负,1表示正。[+0]移码=[-0]移码=10 0

10.奇偶校验码。

第三章指令系统

1.微指令。

微CPU像各个部件发出的控制信号

2.指令系统。

一台计算机能够运行的全部指令的集合。

3.指令的构成。

4.指令类型

①单字长指令。

指令长度等于机器字长的指令称为单字长指令;

②半字长指令。

指令长度等于半个机器字长的指令称为半字长指令

③双字长指令。

指令长度等于两个机器字长的指令称为双字长指令。

④定长指令。

指令系统中所有字长相等。

⑤变长指令。

指令长度随指令的功能而异。

5.分析下面的指令格式的特点。

15 10 7 4 3 0

1.指令类型属于RR型指令,

2.寻址方式寄存器寻址

3.指令格式单字长二地址指令

4.指令系统中包含有64条指令

6. 指令格式如下图所示,试分析其特点。

1.指令格式为双字长二地址指令

2.指令类型为RS型指令

3.指令系统中包含有64条指令

4.指令执行速度介于RS型和SS型指令之间

7.寻址技术

(1)指令的寻址方式

①顺序寻址。

按照指令在内存中的存放顺序寻找其有效地址。通过程序计数器PC+1,自动形成下一条指令的地址。

②跳跃寻址。

下一条将要执行的指令地址码不是由PC给出的,而是由本条指令直接给出的,即通过转移类指令实现。程序跳跃后,按新的指令地址顺序执行,PC内容必须相应改变,以便及时跟踪新的指令地址。

(2)操作数的寻址方式。

(3)隐含寻址。

(4)立即寻址。

(5)寄存器寻址。

(6)直接寻址。

(7)间接寻址。

(8)相对寻址。

(9)假设某条指令的一个操作数采用两次间接寻址方式,指令中给出的地址码为1200H,地址为1200H的内存单元中的内容为12FCH,地址为12FCH的内存单元的内容为38B8H,而38B8H单元的内容为88F9H。则该操作数的有效地址是下列选项中的哪一个?为什么?

即(1200H)→12FCH。

(10)假设某条指令的一个操作数采用一次间接寻址方式,指令中给出的地址码为1200H,地址为1200H的内存单元中的内容为12FCH,地址为12FCH的内存单元的内容为38B8H,而38B8H单元的内容为88F9H。则该操作数的有效地址是下列选项中的哪一个?为什么?

即(1200H)→(12FCH)→38B8H

(11)寄存器间接寻址。

操作数在内存的某个存储单元中,指令中的寄存器内容不是操作数而是操作数的地址,该地址指明的操作数在内存中,即:EA=(R),D=((R))。

(12)解释寄存器寻址与寄存器间接寻址。

采用间接寻址,指令地址字段中的形址A不是操作数D的真正地址,而是操作数地址的指示器(操作数地址的地址)。间接寻址的速度次于直

接寻址和寄存器间接寻址。

寄存器寻址,操作数不在内存而在寄存器中。指令中给出的操作数地址D不是内存地址单元号,而是通用寄存器编号,有效地址EA=R,无主存访问。寄存器数量较少,其地址码字段比主存单元地址字段短,缩

短了指令长度,提高了指令执行速度。

(13)对直接寻址、间接寻址、立即寻址三种寻址方式的寻址由快到慢排序。

立即寻址,直接寻址,间接寻址

第四章数值的机器运算

1.全加器。

全加器有3个输入量和2个输出量。

2. 原码定点数加减运算

(1)运算规则

(1)参加运算的操作数均取其绝对值,符号位不参加运算。

(2)做加法运算时,两数直接相加;做减法运算时,减数先变补,然后再做加法运算。运算结果可能有两种情况:

①若最高位有进位,为正数,运算结果正确;

②若最高位无进位,为负数,对运算结果需要再变一次补(后变补)才能得到正确的运算结果。

(3)给运算结果添加上正确的符号位:即正数添0,负数添1。

3.补码定点数加减运算

(1)补码定点加减运算的规则

①符号位与数值部分一起参加运算。

②参加运算的数均用补码表示。

③求差时用求和替代,将减数求补。

④运算结果为补码。符号位为0是正数,符号位为1是负数。

⑤符号位有进位则丢掉。

4.数据的溢出。

(1)单符号位判断法:

①若XS=YS=0,SS=1,正溢出。两正数相加和为负,正溢出。

②若XS=YS=1,SS=0,负溢出。两负数相加和为正,负溢出。

③相加两数符号位相异,不会产生任何溢出。

(2) 双符号位判断法

正数变形补码:00 X1X2…Xn 无溢出

01 X1X2…Xn 正溢出

负数变形补码:10 X1X2…Xn 负溢出

11 X1X2…Xn 无溢出

例1:设X=0.1011,Y=0.1100,用双符号位补码计算X+Y,判断是否产生溢出。解:[X]补=0.1011,[Y]补=0.1100,采用双符号位补码加法运算过程如下:

[X]补 00.1011

+[Y]补 00.1100

01 0111 正溢出

例2: 说明下图所描述的原理。

5.带符号数的移位原则。

有符号数的移位称为算术移位,无符号的移位称为逻辑移位

例如:补码定点整数0101 0101左移2位后的值是0101 0100。

6.原码一位乘法运算规则

(1)符号位与数值位分别进行单独计算。

(2)乘积的符号位取相乘两数符号位的异或值。

(3)乘积为相乘两数的绝对值之积。

7.原码一位除法原理:商的符号位=被除数与除数符号的异或值;商=两数的绝对值相除。

8.原码恢复余数法:“恢复余数”就是用当前余数+除数。在原码不恢复余数除法中,仅当最后一步不够减时,才恢复一次余数。

9.浮点加减运算原则:①阶码与尾数分别运算;②一律采用补码。对阶原则为小阶向大阶看齐。

例1:设X=10Ex×Mx=102×0.3, Y=10Ey×My=103×0.2,求X+Y和X-Y。

解:Ex=2,Ey=3,Ex<Ey。

X+Y=(Mx·10Ex-Ey+My)×10Ey=(0.3×102-3+0.2)×103=0.23×103=230

X-Y=(My·10Ex-Ey-My)×10Ey=(0.3×102-3-0.2)×103=(-0.17)×103=-170

例2. 用浮点数运算方法对56+5进行二进制运算。浮点数的格式为1位符号位、5位阶码、10位尾码,基数为2(要求写出解题过程)。

解:设X=(56)10=(111000)2=0.111000×26,则Ex=6,Mx=0.111000 设Y=(5)10=(101)2=0.101×23,则Ey=3,My=0.101

(1)对阶:△E=Ex-Ey=6-3=3,Ex>Ey,My右移3位,Ey+3,得:

[Y]浮=0.000101×26,

(2)尾数求和:

Mx 0.111000

+ My 0.000101

Mc 0.111101

(3)尾数规格化:Mc=0.111101×26

(4)舍入:未超出存储范围,不必舍入。

(5)溢出判断:无溢出。

第五章内存储器

1.存储系统的层次结构。

计算机多级存储器体系结构由Cache、主存和外存构成

2.数据在主存中的存放方式:

(1)64位存放方式

(2)起始位置存放方式

(3)边界存放方式。

3.SRAM存储器。

用双稳态电路存储0和1,信息读出后不需再生,存取速度很快,断电后原存数据丢失(易失性)。

4. DRAM存储器。

利用电容器存储电荷特性存储数据,依靠定时刷新和读后再生对信息进行保存,若电容上有足够的电荷,则存储“1”,否则,则存储“0”。

5.主存容量的扩展:所需芯片总数d=存储器的总容量/每个芯片的容量。

6. Cache存储器。

Cache是位于位于CPU与主存之间的存储器。

7. 主存与cache的地址映射。

全相联映射,直接映射,组相联映射方式

8.Cache的替换策略。

1.RND算法(随机替换)

2.FIFO算法(先进先出)

3.LRU算法(近期最少使用)

第六章中央处理器

1.现代计算机CPU的基本组成。

运算器+Cache+控制器

2.CPU中有若干寄存器,用于存放指令和数据。例如:

①DR:暂存算术逻辑运算单元ALU的运算结果。

②IR:保存当前正在执行的一条指令。

③PC:指出下一条将要执行的指令在主存中的地址,具有自动增1功能。程序流动方向最终是由PC来控制的。

④AR:保存当前CPU所访问的数存单元地址。

3.CPU主频。CPU内部脉冲信号的震荡频率。

4.CPU外频。CPU的基准频率,反映了CPU与主板之间同步运行的速度,它决定主板的运行速度。

5.CPU倍频。CPU核心工作频率与外频之间的比值关系。

6.FSB频率。指CPU和主板北桥芯片之间总线的数据传输速度,

7.解释CPU外频与CPU FSB频率两个概念。

8.机器指令与微指令的关系。

①一条机器指令的功能由若干条微指令组成的序列来实现。

②机器指令存放在内存中,微指令固化在控存中。

③每一个CPU周期对应一条微指令。

9.时序信号。

10.CPU是如何识别从内存中取出的是指令还是数据的?

CPU利用时序信号辨认从内存中取出的是指令还是数据

11. CPU通过总线类型来识别信息是地址还是数据的。

第七章外部存储器和输入输出设备

1. 计算机的外围设备。

除了CPU和内存以外的其他设备

2. 磁盘存取信息的方式。

磁盘是一种以直接存取方式存取数据的存储设备。

3.①道密度。指沿磁盘半径方向单位长度上的磁道数,单位:道/in。

②位密度。指磁道单位长度上能记录的二进制代码位数,单位:位/in。

③面密度。位密度与道密度的乘积,单位:位/in2。

4.CD-ROM光盘的外缘5mm宽范围不记录数据,标准播放时间为60分钟。计算在模式1和模式2情况下光盘的存储容量。光盘的恒定速度为每秒读出75个扇区解:扇区总数=75×3600=270000(扇区)

模式1存储容量=270000×2048/220=527MB

模式2存放音像等媒体数据,存储容量=270000×2336B/220=601MB

5.①解释“刷新”。

刷新指CRT不断扫描整个屏幕的过程。

②简述刷新存储器的作用和其容量的决定因素。

刷新存储器的存储容量M由图像分辨率r和灰度级C决定,即:M=r×C

③某台显示器的分辨率为1024×1024,颜色级为256级,求刷新存储器的存储容量。其M=1024×1024×8b=1MB

解:①显示器刷新。

②刷新存储器的作用和其存储量大小的决定因素。

③计算刷新存储器的存储容量。

第八章 CPU与外界交换信息的方式

1.程序中断方式

(1) 单级中断:在单级中断系统中,所有中断源属于同一级,所有中断源触发器排成一行,其优先次序是离CPU近的优先权高。

(2) 多级中断:多级中断系统是指计算机系统中有相当多的中断源,根据各中断事件的轻重缓急程度不同而分成若干级别,每一中断级分配给一个优先权。一般说来,优先权高的中断级可以打断优先权低的中断服务程序,以程序嵌套方式进行工作。例如,三级中断优先权高于二级,而二级中断优先权又高于一级。

2发生中断请求的条件有:一次I/O操作结束、机器内部发生故障、一次DMA操作结束。在中断周期中,将允许中断触发器置“0”的操作是由硬件完成的。

3.DMA传送方式

(1)停止CPU访问内存

(2)周期挪用

(3)DMA与CPU交替访内

4.通道方式:通道是一个具有特殊功能的处理器。通道有两种类型:选择通道和多路通道。

计算机组成原理报告

武汉华夏理工学院 课程设计课程名称计算机组成原理 题目模型机设计与实现 专业计算机科学与技术 班级计算机1165 姓名 成绩 指导教师田夏利 2018 年 1 月 8日 课程设计任务书

设计题目:模型机设计与实现 设计目的: 利用基本模型机的构建与调试实验,完整地建立计算机硬件的整机模型,掌握CPU的基本结构和控制流程,掌握指令执行的基本过程。 设计任务(在规定的时间内完成下列任务) 1.掌握CISC微控制器功能与微指令格式 2.设计五条机器指令,并编写对应的微程序 3.在TDN-CMA教学实验系统中调试机器指令程序,确认运行结果 时间安排(集中时间) 1.第19周周一(1-4):全体集中讲解课程设计原理与方法 2.第19周周一~周四(1-4):分班调试,撰写设计报告 3.第19周周五:验收及答辩。 具体要求 1.周一:熟悉任务,掌握设备 2.周一:完成模型机的实验线路连接 3.周二:调试模型机,记录实验结果 4.周三:拟定课程设计报告大纲 5.周四、五:撰写并打印课程设计报告 目录 1.课程设计....................................... 错误!未定义书签。

课程设计题目...............................................错误!未定义书签。课程设计目的...............................................错误!未定义书签。实验设备...................................................错误!未定义书签。2概要设计....................................... 错误!未定义书签。原理.......................................................错误!未定义书签。数据通路框图...............................................错误!未定义书签。微指令格式.................................................错误!未定义书签。微程序流程图...............................................错误!未定义书签。微指令二进制代码表.........................................错误!未定义书签。实验步骤........................................ 错误!未定义书签。 实验接线图.............................................错误!未定义书签。 操作步骤...............................................错误!未定义书签。3实验过程....................................... 错误!未定义书签。输入数据...................................................错误!未定义书签。结果.......................................................错误!未定义书签。4设计总结....................................... 错误!未定义书签。设计体会...................................................错误!未定义书签。 1.课程设计 课程设计题目 基本模型机设计与实现

计算机组成原理期末试题及答案

第一章计算机系统概论 计算机的硬件是由有形的电子器件等构成的,它包括运算器、存储器、控制器、适配器、输入输出设备。早起将运算器和控制器合在一起称为CPU(中央处理器)。目前的CPU包含了存储器,因此称为中央处理器。存储程序并按地址顺序执行,这是冯·诺依曼型计算机的工作原理,也是CPU自动工作的关键。 计算机系统是一个有硬件、软件组成的多级层次结构,它通常由微程序级、一般程序级、操作系统级、汇编语言级、高级语言级组成,每一级上都能进行程序设计,且得到下面各级的支持。 习题:4冯·诺依曼型计算机的主要设计思想是什么它包括那些主要组成部分 主要设计思想是:存储程序通用电子计算机方案,主要组成部分有:运算器、逻辑控制装置、存储器、输入和输出设备 5什么是存储容量什么是单元地址什么是数据字什么是指令字 存储器所有存储单元的总数称为存储器的存储容量。每个存储单元都有编号,称为单元地址。如果某字代表要处理的数据,称为数据字。如果某字为一条指令,称为指令字 7指令和数据均存放在内存中,计算机如何区分它们是指令还是数据 每一个基本操作称为一条指令,而解算某一问题的一串指令序列,称为程序 第二章运算方法和运算器

按 对阶操作。 直接使用西文标准键盘输入汉字,进行处理,并显示打印汉字,是一项重大成就。为此要解决汉字的输入编码、汉字内码、子模码等三种不同用途的编码。 1第三章内部存储器 CPU能直接访问内存(cache、主 存) 双端口存储器和多模块交叉存储器属于并行存储器结构。 cache是一种高速缓冲存储器,是为了解决CPU和主存之间速度不匹配而采用的一项重要的硬件技术,并且发展为多级cache体系,指令cache与数据cache分设体 系。要求cache的命中率接近于1 适度地兼顾了二者的优点又尽量避免其缺点,从灵活性、命中率、硬件投资来说较为理想,因而得到了普遍采用。 习题:1设有一个具有20位地址和32位字长的存储器,问: (1)该存储器能存储多少个字节的信息

计算机组成原理96209

1.完整的计算机系统应包括配套的硬件设备和软件系统。 2.计算机硬件包括运算器、控制器、存储器、输入设备 和输出设备。其中运算器、控制器和存储器组成主机运算器和控制器可统称为CPU。 3.基于存储程序原理的冯·诺依曼计算机工作方式的基本特点是按地址访问并顺序执行指令。 5.系统程序是指用来对整个计算机系统进行调度、管理、监视及服务的各种软件,应用程序是指用户在各自的系统中开发和应用的各种程序。 6.计算机与日常使用的袖珍计算机的本质区别在于自动化程度的高低。 7.为了更好地发挥计算机效率和方便用户,20世纪50年代发展了操作系统技术通过它对计算机进行管理和调度。 8.指令和数据都存放在存储器中,控制器能自动识别它们。 9.计算机系统没有系统软件中的操作系统就什么工作都不能做。 10.在用户编程所用的各种语言中与计算机本身最为密切的语言是汇编语言。 11.计算机唯一能直接执行的语言是机器语言. 12.电子计算机问世至今计算机类型不断推陈出新但依然保存存储程序的特点最早提出这种观点的是冯·诺依曼。 13.汇编语言是一种面向机器的语言,对机器依赖性很强,用汇编语言编制的程序执行速度比高级语言快。 14.有些计算机将一部分软件永恒地存于只读存储器中称为固件。 15.计算机将存储、运算逻辑运算和控制三部分合称为主机,再加上输入设备和输出设备组成了计算机硬件系统。 16.1μs= 10-6 s,其时间是1ns的 1000 倍。 17.计算机系统的软件可分为系统软件和应用软件,文本处理属于应用软件,汇编程序属于系统软件。 18.指令的解释是由计算机的控制器来完成的,运算器用来完成算数和逻辑运算。 23.存储器的容量可以用KB、MB和GB表示,它们分别代表 2 10字节, 2 20字节和2 30字节。 24.计算机硬件的主要技术指标包括机器字长、存储容量、运算速度。

计算机组成原理试题及答案

A .(7CD )16 B. ( 7D0)16 C. (7E0)16 D. 3. 下列数中最大的数是 _______ 。 A .(10011001) 2 B. (227) 8 C. (98)16 4. ____ 表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 5. 在小型或微型计算机里,普遍采用的字符编码是 A. BCD 码 B. 16 进制 C. 格雷码 6. 下列有关运算器的描述中, ______ 是正确的 A. 只做算术运算,不做逻辑运算 B. C. 能暂时存放运算结果 D. 7. EPROM 是指 ____ 。 A. 读写存储器 B. C. 可编程的只读存储器 D. 8. Intel80486 是 32位微处理器, Pentium 是A.16 B.32 C.48 D.64 9 .设]X ]补=1.XXX 3X 4,当满足 _________ ■寸,X > -1/2 成立。 A. X 1必须为1,X 2X 3X 4至少有一个为1 B. X 1必须为1 , X 2X 3X 4任意 C. X 1必须为0, X 2X 3X 4至少有一个为1 D. X 1必须为0, X 2X 3X 4任意 10. CPU 主要包括 _____ 。 A.控制器 B. 控制器、运算器、cache C.运算器和主存 D.控制器、ALU 和主存 11. 信息只用一条传输线 ,且采用脉冲传输的方式称为 _________ 。 A. 串行传输 B. 并行传输 C. 并串行传输 D. 分时传输 12. 以下四种类型指令中,执行时间最长的是 _________ 。 A. RR 型 B. RS 型 C. SS 型 D. 程序控制指令 13. 下列 _____ 属于应用软件。 A. 操作系统 B. 编译系统 C. 连接程序 D. 文本处理 14. 在主存和CPU 之间增加cache 存储器的目的是 _____ 。 A. 增加内存容量 B. 提高内存可靠性 C.解决CPU 和主存之间的速度匹配问题 D. 增加内存容量,同时加快存取速 度 15. 某单片机的系统程序,不允许用户在执行时改变,则可以选用 ____________ 作为存储芯 片。 A. SRAM B. 闪速存储器 C. cache D. 辅助存储器 16. 设变址寄存器为X ,形式地址为D, (X )表示寄存器X 的内容,这种寻址方式的有 效地址为 ______ 。 A. EA=(X)+D B. EA=(X)+(D) C.EA=((X)+D) D. EA=((X)+(D)) 17. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为 ___________ 。 A. 隐含寻址 B. 立即寻址 C. 寄存器寻址 D. 直接寻址 18. 下述 I/O 控制方式中,主要由程序实现的是 ________ 。 7F0)16 D. ( 152)10 o D. ASC H 码 只做加法 既做算术运算,又做逻辑运算 只读存储器 光擦除可编程的只读存储器 位微处理器。

计算机组成原理课程设计报告

计算机组成原理课程设计报告 课程设计题目:计算机组成原理 专业名称:计算机科学与技术班级: 2013240202 关童:201324020217 张一轮:201324020218 孙吉阳:201324020219 张旭:201324020220 老师姓名:单博炜 2015年12月31日

第一章课程设计概述 1.1 课程设计的教学目的 本课程设计的教学目的是在掌握计算机系统组成及内部工作机制、理解计算机各功能部件工作原理的基础上,深入掌握数据信息流和控制信息流的流动过程,进一步加深计算机系统各模块间相互关系的认识 无条件转移),其指令格式如表1(前4位是操作码): 表1: IN为单字长(8位),含义是将数据开关8位数据输入到R0寄存器;ADD为双字长指令,第一字为操作码,第二字为操作数地址,其含义是将R0寄存器的内容与内存中以A为地址单元的数相加,结果放在

R0;STA为双字长指令,含义是将R0中的内容存储到以第二字A为地址内存单元中;OUT为双字长指令,含义是将内存中以第二字为地址的数据读到数据总线上,由数码管进行显示;JMP是双字长指令,执行该指令时,程序无条件转移到第二字所指定的内存单元地址。 为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,还设计了三个控制台操作微程序:存储器读操作”(KRD):拨动总清开关CLR后,当控制台开关SWB、SWA置为“00”时,按START 微动开关,可对RAM进行连续手动读操作;存储器写操作(KWE):拨动总清开关CLR后,当控制台开关SWB、SWA置为“01”时,按START微动开关,可对RAM进行连续手动写入;启动程序:拨动总清开关CLR后,当控制台开关SWB、SWA置为“11”时,按START微动开关,即可转入第01号“取指”微指令,启动程序运行。这三条控制台指令用两个开关SWB、SWA的状态来设置,其定义如表2:表2: C字段: 按照数据通路可画出机器指令的微程序流程图如图2所示,当拟定“取值”微指令时,该微指令的判

(完整版)计算机组成原理期末考试试题及答案

计算机组成原理试题 一、选择题(共20分,每题1分) 1.零地址运算指令在指令格式中不给出操作数地址,它的操作数来自____C__。 A.立即数和栈顶; B.暂存器; C.栈顶和次栈顶; D.累加器。 2.___C___可区分存储单元中存放的是指令还是数据。 A.存储器; B.运算器; C.控制器; D.用户。 3.所谓三总线结构的计算机是指_B_____。 A.地址线、数据线和控制线三组传输线。 B.I/O总线、主存总统和DMA总线三组传输线; C.I/O总线、主存总线和系统总线三组传输线; D.设备总线、主存总线和控制总线三组传输线.。 4.某计算机字长是32位,它的存储容量是256KB,按字编址,它的寻址范围是_____B_。 A.128K; B.64K; C.64KB; D.128KB。 5.主机与设备传送数据时,采用___A___,主机与设备是串行工作的。 A.程序查询方式; B.中断方式; C.DMA方式; D.通道。 6.在整数定点机中,下述第___B___种说法是正确的。 A.原码和反码不能表示-1,补码可以表示-1; B.三种机器数均可表示-1; C.三种机器数均可表示-1,且三种机器数的表示范围相同; D.三种机器数均不可表示-1。 7.变址寻址方式中,操作数的有效地址是___C___。 A.基址寄存器内容加上形式地址(位移量); B.程序计数器内容加上形式地址; C.变址寄存器内容加上形式地址; D.以上都不对。 8.向量中断是___C___。 A.外设提出中断; B.由硬件形成中断服务程序入口地址; C.由硬件形成向量地址,再由向量地址找到中断服务程序入口地址

计算机组成原理试题及答案

二、填空题 1 字符信息是符号数据,属于处理(非数值)领域的问题,国际上采用的字符系统是七单位的(ASCII)码。P23 2 按IEEE754标准,一个32位浮点数由符号位S(1位)、阶码E(8位)、尾数M(23位)三个域组成。其中阶码E的值等于指数的真值(e)加上一个固定的偏移值(127)。P17 3 双端口存储器和多模块交叉存储器属于并行存储器结构,其中前者采用(空间)并行技术,后者采用(时间)并行技术。P86 4 衡量总线性能的重要指标是(总线带宽),它定义为总线本身所能达到的最高传输速率,单位是(MB/s)。P185 5 在计算机术语中,将ALU控制器和()存储器合在一起称为()。 6 数的真值变成机器码可采用原码表示法,反码表示法,(补码)表示法,(移码)表示法。P19-P21 7 广泛使用的(SRAM)和(DRAM)都是半导体随机读写存储器。前者的速度比后者快,但集成度不如后者高。P67 8 反映主存速度指标的三个术语是存取时间、(存储周期)和(存储器带宽)。P67 9 形成指令地址的方法称为指令寻址,通常是(顺序)寻址,遇到转移指令时(跳跃)寻址。P112 10 CPU从(主存中)取出一条指令并执行这条指令的时间和称为(指令周期)。 11 定点32位字长的字,采用2的补码形式表示时,一个字所能表示

的整数范围是(-2的31次方到2的31次方减1 )。P20 12 IEEE754标准规定的64位浮点数格式中,符号位为1位,阶码为11位,尾数为52位,则它能表示的最大规格化正数为(+[1+(1-2 )]×2 )。 13 浮点加、减法运算的步骤是(0操作处理)、(比较阶码大小并完成对阶)、(尾数进行加或减运算)、(结果规格化并进行舍入处理)、(溢出处理)。P54 14 某计算机字长32位,其存储容量为64MB,若按字编址,它的存储系统的地址线至少需要(14)条。64×1024KB=2048KB(寻址范32围)=2048×8(化为字的形式)=214 15一个组相联映射的Cache,有128块,每组4块,主存共有16384块,每块64个字,则主存地址共(20)位,其中主存字块标记应为(9)位,组地址应为(5)位,Cache地址共(13)位。 16 CPU存取出一条指令并执行该指令的时间叫(指令周期),它通常包含若干个(CPU周期),而后者又包含若干个(时钟周期)。P131 17 计算机系统的层次结构从下至上可分为五级,即微程序设计级(或逻辑电路级)、一般机器级、操作系统级、(汇编语言)级、(高级语言)级。P13 18十进制数在计算机内有两种表示形式:(字符串)形式和(压缩的十进制数串)形式。前者主要用在非数值计算的应用领域,后者用于直接完成十进制数的算术运算。P19 19一个定点数由符号位和数值域两部分组成。按小数点位置不同,

计算机组成原理实验报告

计算机组成原理课程设计 报告 指导教师: 班级: 姓名: 学号:

一、目的和要求 1.实验目的: 深入了解计算机各种指令的执行过程,以及控制器的组成,指令系统微程序设计的具体知识,进一步理解和掌握动态微程序设计的概念;完成微程序控制的特定功能计算机的指令系统设计和调试。 2、实验要求: 要进行这项大型实验,必须清楚地懂得: (1)TEC-2机的功能部件及其连接关系; (2)TEC-2机每个功能部件的功能与具体组成; (3)TEC-2机支持的指令格式; (4)TEC-2机的微指令格式,AM2910芯片的用法; (5)已实现的典型指令的执行实例,即相应的微指令与其执行次序的安排与衔接; (6)要实现的新指令的格式与功能。 二、实验环境 PC机模拟TEC-2机 三、具体内容 一、实验内容: 选定指令格式、操作码,设计如下指令: (1)把用绝对地址表示的内存单元ADDR1中的内容与内存单元ADDR2中的内容相减,结果存于内存单元ADDR3中。 指令格式:D4××,ADDR1,ADDR2, ADDR3 四字指令(控存入口100H) 功能: [ADDR3]=[ADDR1]-[ADDR2] (2)将一通用寄存器内容减去某内存单元内容,结果放在另一寄存器中。 指令格式:E0 DR SR,ADDR (SR,DR源、目的寄存器各4位)双字指令(控存入口130H) 功能: DR=SR+ [ADDR] (3)转移指令。判断两个通用寄存器内容是否相等,若相等则转移到指定绝对地址,否则顺序执行。 指令格式:E5 DR SR,ADDR 双字指令(控存入口140H) 功能: if DR==SR goto ADDR else 顺序执行。 设计:利用指令的CND字段,即IR10~8,令IR10~8=101,即CC=Z 则当DR==SR时Z=1,微程序不跳转,接着执行MEM PC(即ADDR PC) 而当DR!=SR时Z=0,微程序跳转至A4。 二、实验要求: (1)根据内容自行设计相关指令微程序;(务必利用非上机时间设计好微程序) (2)设计测试程序、实验数据并上机调试。 (3)设计报告内容:包括1、设计目的2、设计内容3、微程序设计(含指令格式、功能、设计及微程序) 4、实验数据(测试所设计指令的程序及结果)。(具体要求安最新规范为准) (4)课程设计实验报告必须打印成册,各班班长收齐大型实验报告于18周星期六下午(15:00)前,交张芳老师办公室。 四、实验程序与分析: (一).把用绝对地址表示的内存单元ADDR1中的内容与内存单元ADDR2中的内容相减,结果存于内存单元ADDR3中。 指令格式:D4××,ADDR1,ADDR2, ADDR3 四字指令(控存入口100H)

计算机组成原理期末考试试题及答案

计算机组成原理期末考试试题及答案 文稿归稿存档编号:[KKUY-KKIO69-OTM243-OLUI129-G00I-FDQS58-

计算机组成原理试题 一、选择题(共20分,每题1分) 1.零地址运算指令在指令格式中不给出操作数地址,它的操作数来自______。 A.立即数和栈顶; B.暂存器; C.栈顶和次栈顶; D.累加器。 2.______可区分存储单元中存放的是指令还是数据。 A.存储器; B.运算器; C.控制器; D.用户。 3.所谓三总线结构的计算机是指______。 A.地址线、数据线和控制线三组传输线。 B.I/O总线、主存总统和DMA总线三组传输线; C.I/O总线、主存总线和系统总线三组传输线; D.设备总线、主存总线和控制总线三组传输线.。 4.某计算机字长是32位,它的存储容量是256KB,按字编址,它的寻址范围是______。 A.128K; B.64K; C.64KB; D.128KB。 5.主机与设备传送数据时,采用______,主机与设备是串行工作的。 A.程序查询方式; B.中断方式; C.DMA方式; D.通道。 6.在整数定点机中,下述第______种说法是正确的。

A.原码和反码不能表示-1,补码可以表示-1; B.三种机器数均可表示-1; C.三种机器数均可表示-1,且三种机器数的表示范围相 同; D.三种机器数均不可表示-1。 7.变址寻址方式中,操作数的有效地址是______。 A.基址寄存器内容加上形式地址(位移量); B.程序计数器内容加上形式地址; C.变址寄存器内容加上形式地址; D.以上都不对。 8.向量中断是______。 A.外设提出中断; B.由硬件形成中断服务程序入口地址; C.由硬件形成向量地址,再由向量地址找到中断服务程序入口地址 D.以上都不对。 9.一个节拍信号的宽度是指______。 A.指令周期; B.机器周期; C.时钟周期; D.存储周期。 10.将微程序存储在EPROM中的控制器是______控制器。 A.静态微程序; B.毫微程序; C.动态微程序; D.微程序。 11.隐指令是指______。 A.操作数隐含在操作码中的指令; B.在一个机器周期里完成全部操作的指令; C.指令系统中已有的指令;

计算机组成原理(肖铁军2010版)课后答案(完整版)

计算机组成原理(肖铁军2010版)课后答案 第一章;1 .比较数字计算机和模拟计算机的特点;解:模拟计算机的特点: 数值由连续量来表示,运算过;数字计算机的特点:数值由数字量(离散量)来 表示,;2.数字计算机如何分类?分类的依据是什么?;解:分类:数字计算机分为专用计算机和通用计算机;分类依据:专用和通用是根据计算机的效率、速度、价;通用机的分类依据主要是体积、简易性、功率损耗、性;3.数字计算机有那些主 第一章 1.比较数字计算机和模拟计算机的特点。 解:模拟计算机的特点:数值由连续量来表示,运算过程是连续的 数字计算机的特点:数值由数字量(离散量)来表示,运算按位进行。两者主要区别见P1 表1.1。 2.数字计算机如何分类?分类的依据是什么? 解:分类:数字计算机分为专用计算机和通用计算机。通用计算机又分为巨型机、大型机、中型机、小型机、微型机和单片机六类。

通用机的分类依据主要是体积、简易性、功率损耗、性能指标、数据存储容量、指令系统规模和机器价格等因素。 3.数字计算机有那些主要应用? (略) 4.冯. 诺依曼型计算机的主要设计思想是什么?它包括哪些主要组成部分? 解:冯. 诺依曼型计算机的主要设计思想是:存储程序和程序控制 存储程序:将解题的程序(指令序列)存放到存储器中; 程序控制:控制器顺序执行存储的程序,按指令功能控制全机协调地完成运算任务。主要组成部分有:控制器、运算器、存储器、输入设备、输出设备。 5.什么是存储容量?什么是单元地址?什么是数据字?什么是指令字?解:存储容量:指存储器可以容纳的二进制信息的数量,通常用单位KB、M B、GB来度量,存储容量越大,表示计算机所能存储的信息量越多,反映了计算机存储空间的大小。 单元地址:单元地址简称地址,在存储器中每个存储单元都有唯一的地址编号,称为单元地址。 数据字:若某计算机字是运算操作的对象即代表要处理的数据,则称数据字。指令字:若某计算机字代表一条指令或指令的一部分,则称指令字。 6.什么是指令?什么是程序?

计算机组成原理练习题-答案

一、填空题 1.对存储器的要求是速度快,_容量大_____,_价位低_____。为了解决这方面的矛盾,计算机采用多级存储体系结构。 2.指令系统是表征一台计算机__性能__的重要因素,它的____格式__和___功能___不仅直接影响到机器的硬件结构而且也影响到系统软件。 3.CPU中至少有如下六类寄存器__指令____寄存器,__程序_计数器,_地址__寄存器,通用寄存器,状态条件寄存器,缓冲寄存器。 4.完成一条指令一般分为取指周期和执行周期,前者完成取指令和分析指令操作,后者完成执行指令操作。 5.常见的数据传送类指令的功能可实现寄存器和寄存器之间,或寄存器和存储器之间的数据传送。 6.微指令格式可分为垂直型和水平型两类,其中垂直型微指令用较长的微程序结构换取较短的微指令结构。 7.对于一条隐含寻址的算术运算指令,其指令字中不明确给出操作数的地址,其中一个操作数通常隐含在累加器中 8.设浮点数阶码为8位(含1位阶符),尾数为24位(含1位数符),则32位二进制补码浮点规格化数对应的十进制真值范围是:最大正数为 2^127(1-2^-23) ,最小正数为 2^-129 ,最大负数为 2^-128(-2^-1-2^-23) ,最小负数为 -2^127 。 9.某小数定点机,字长8位(含1位符号位),当机器数分别采用原码、补码和反码时,其对应的真值范围分别是 -127/128 ~+127/128 -1 ~+127/128 -127/128 ~+127/128 (均用十进制表示)。 10.在DMA方式中,CPU和DMA控制器通常采用三种方法来分时使用主存,它们是停止CPU访问主存、周期挪用和DMA和CPU交替访问主存。 11.设 n = 8 (不包括符号位),则原码一位乘需做 8 次移位和最多 8 次加法,补码Booth算法需做 8 次移位和最多 9 次加法。 12.设浮点数阶码为8位(含1位阶符),尾数为24位(含1位数符),则32位二进制补码浮点规格化数对应的十进制真值范围是:最大正数为,最小正数为,最大负数为,最小负数为。 13.一个总线传输周期包括申请分配阶段、寻址阶段、传输阶段和结束阶段四个阶段。 14.CPU采用同步控制方式时,控制器使用机器周期和节拍组成的多极时序系统。

计算机组成原理期末试题

1.刷新存储器的重要性能指标是它的带宽。若显示工作方式采用分辨率为1024*768,颜色深度24位,帧频(刷新速度)为72Hz,求: 1)刷新存储器的容量是多少 2)刷新存储器的带宽是多少 1)刷新存储器的容量= 1024*768 * 24bit= 2)帧频(刷新速度)为72Hz指的是:每秒钟读72次, 所以,刷新存储器的带宽=1024*768 * 24bit *72 次/秒=162MB/s 2.试推导磁盘存储器读写一块信息所需要的总时间 读写一块总时间TB=平均找道时间Ts+平均等待时间Tw +读写传输时间Tt 读写一块总时间TB=平均找道时间+平均等待时间+读写传输时间=Ts+Tw+Tt 1)Tw 设磁盘每秒r转,每条磁道N个字,则数据传输率=rN个字/秒 转一周的时间=1/r,所以 Tw =1/2*(1/r)=1/(2r) 2)Tt 又设每块的字数是n,一旦读写头定位在该块,则Tt≈n/(rN)秒 所以TB=Ts+ 1/(2r)+ n/(rN) 秒 3.采用串行接口进行7位ASCII码传送,带有一位奇偶校验位、一位起始位和一位停止位,当波特9600波特时,字符传送率是 9600波特=9600bit/秒 =9600 bit*(1字符/10bit)/秒 =960字符/秒 4.某总线在一个总线周期中并行传送8个字节的信息,设一个总线周期等于一个总线时钟周期,总线时钟频率为70MHz,求总线带宽 Dr=8字节/T秒=8*70*10^6≈420MB/秒 5.某机器CPU中有16个寄存器,运行某中断处理程序时,仅用到其中的2个寄存器,请问响应中断而进入该中断处理程序时是否将通用寄存器内容保存到主存中去需保存几个寄存器 要将通用寄存器内容保存到主存中去。 只要保存中断处理程序用到的那2个寄存器的内容。 1.已知cache的存储周期是40ns,主存存储周期200ns, cache/主存系统平均50ns,求cache的命中率访问n个字,设命中率为H cache/主存系统的平均访问时间 =命中cache的时间+不命中cache的主存访问时间 =H*Tc+(1-H)*Tm =H*40+(1-H)*200 =50

计算机组成原理典型例题讲解

分析设计计算: 1.CPU结构如图1所示,其中有一个累加寄存器AC,一个状态条件寄存器,各部分之间的连线表示数据通路,箭头表示信息传送方向。 (1)标明图中四个寄存器的名称。 (2)简述指令从主存取到控制器的数据通路。 (3)简述数据在运算器和主存之间进行存/ 取访问的数据通路。 图1 解: (1)a为数据缓冲寄存器DR ,b为指令寄存器IR ,c为主存地址寄存器,d为程序计数器PC。 (2)主存M →缓冲寄存器DR →指令寄存器IR →操作控制器。 (3)存贮器读:M →缓冲寄存器DR →ALU →AC 存贮器写:AC →缓冲寄存器DR →M

2. 某机器中,配有一个ROM芯片,地址空间0000H—3FFFH。现在再用几个16K×8的芯片构成一个32K×8的RAM区域,使其地址空间为8000H—FFFFH。假设此RAM芯片有/CS和/WE信号控制端。CPU地址总线为A15—A0,数据总线为D7—D0,控制信号为R//W,MREQ(存储器请求),当且仅当MREQ 和R//W同时有效时,CPU才能对有存储器进行读(或写)。 (1)满足已知条件的存储器,画出地址码方案。 (2)画出此CPU与上述ROM芯片和RAM芯片的连接图。 解:存储器地址空间分布如图1所示,分三组,每组16K×8位。 由此可得存储器方案要点如下: (1)用两片16K*8 RAM芯片位进行串联连接,构成32K*8的RAM区域。片内地址:A0——A13,片选地址为:A14——A15; (2)译码使用2 :4 译码器; (3)用/MREQ 作为2 :4译码器使能控制端,该信号低电平(有效)时,译码器工作。 (4)CPU的R / /W信号与RAM的/WE端连接,当R // W = 1时存储器执行读操作,当R // W = 0时,存储器执行写操作。如图1 0000 3FFF 8000

【精品】计算机组成原理期末考试简答题重点

一、简答题 1、试述浮点数规格化的目的和方法。 答:浮点的规格化是为了使浮点数尾数的最高数值位为有效数位。当尾数用补码表示时,若符号位与小数点后的第一位不相等,则被定义为已规格化的数,否则便是非规格化数。通过规格化,可以保证运算数据的精度。 方法:进行向左规格化,尾数左移一位,阶码减1,直到规格化完毕。 2、简述循环冗余码(CRC)的纠错原理。 答:CRC码是一种纠错能力较强的校验码。在进行校验时,先将被检数据码的多项式用 生成多项式G(X)来除,若余数为0,说明数据正确;若余数不为0,则说明被检数据有错。 只要正确选择多项式G(X),余数与CRC码出错位位置的对应关系是一定的,由此可以 用余数作为判断出错位置的依据而纠正出错的数据位。 3、DRAM存储器为什么要刷新?有几种刷新方式? DRAM存储元是通过栅极电容存储电荷来暂存信息。由于存储的信息电荷终究是有泄漏的,电荷数又不能像 SRAM存储元那样由电源经负载管来补充,时间一长,信息就会丢失。为此必须设法由外界按一定规律给栅 极充电,按需要补给栅极电容的信息电荷,此过程叫“刷新”。 ①集中式---正常读/写操作与刷新操作分开进行,刷新集中完成。 ②分散式---将一个存储系统周期分成两个时间片,分时进行正常读/写操作和刷新操作。 ③异步式---前两种方式的结合,每隔一段时间刷新一次,保证在刷新周期内对整个存储器 刷新一遍。 4、CPU中有哪些主要寄存器?简述这些寄存器的功能。 (1)指令寄存器(IR):用来保存当前正在执行的一条指令。 (2)程序计数器(PC):用来确定下一条指令的地址。 (3)地址寄存器(AR):用来保存当前CPU所访问的内存单元的地址。 (4)缓冲寄存器(DR): <1>作为CPU和内存、外部设备之间信息传送的中转站。 <2>补偿CPU和内存、外围设备之间在操作速度上的差别。 <3>在单累加器结构的运算器中,缓冲寄存器还可兼作为操作数寄存器。 (5)通用寄存器(AC):当运算器的算术逻辑单元(ALU)执行全部算术和逻辑运算时,为ALU提供一个工作区。 (6)状态条件寄存器:保存由算术指令和逻辑指令运行或测试的结果建立的各种条件码内容。除此之外,还保存中断和系统工作状态等信息,以便使CPU和系统能及时了解机器运行状态和程序运行状态。 5、中断处理过程包括哪些操作步骤? 关闭中断标识,重要数据入栈, 处理中断服务功能, 数据出栈, 恢复中断标识, 开中断.

计算机组成原理试题

计算机组成原理试题(A) 教学中心名称考点成绩 专业、班级姓名学号 一、填空题(每空1分,共10分) 1.计算机中的信息可分为两类,它们是信息和信息。 2.第二代电子数字计算机所用的基本器件是。 3.设X=-9/16,[X]补= 。 4.运算器中的核心部件是。 5.浮点表示法中,阶码决定浮点数的,尾数决定浮点数的。 6.CPU中PC的主要功能是。 7.按照信息的传送格式,接口可分为和两大类。 二、选择题(每小题2分,共20分) 1. 某主存储器按字节编址,地址线数目为16,这个存储器的容量为 . A 16K×16位B.32K×8位、C.64K ×8位 2.采用DMA方式传送数据时,每传送一个数据就要占用的时间。 A一个指令周期B.一个存储周期C.一个机器周期 3. Cache是。 A.主存的一部分 B.为扩大存储容量而设置的 C.为提高存储系统的速度而设置的 4.操作控制器的功能是。 A产生操作控制信号,以解释并执行指令 B、产生时序信号C.对指令泽码 5.中断响应时,保存PC并更新PC的内容,主要是为了. A.提高处理机的速度 B.能进入中断处理程字并能正确返回原程序 C.便于编制中断处理程序 6.计算机辅助设计是指。 A.CAD B.CAI C.CAT 7.某机字长32位,内存容量为4MW,若按字节编址,其寻址范围为. A.0~4M B。0~16M C.0~32M 8.在磁盘存储器中,与转速无关的技术指标是。 A.存储密度B.平均等待时间C.数据传输率 9.设指令中的形式地址为以相对寻址时,操作数的有效地址E=. A.(D)B.(PC)+D C.(R)+D

10.计算机中,执行部件接控制部件的命令所作的不可再分的操作称为. A.微命令B.微操作C操作 三.判断改错题(每小题2分,共10分。正确,在括号内打√;错误,则打×并更正) 1.磁盘存储器是一种随机存取存储器。() 2.零地址指令就是没有操作数的指令。() 3.时序发生器是控制器的主要部件之一。() 4.设X=10110110,采奇校验时,其校验位C=1。() 5.中断处理过程中,保存现场必须在中断服务之后进行。() 四.简答题(每小题10分,共40分) 1.CPU由哪些主要部件组成?说明各部件的作用。 2.试述高速缓冲存储器的基本设计思想和特点。 3.主机与外部设备间为什么要设置接口? 4.为什么说取指令是公操作?在取指令阶段,CPU主要完成哪些操作? 五.计算题(共10 分) 1.设X=0.0101,Y=-0.1101,用双符号补码计算X+Y=?和X-Y=?并判断其结果是否溢出。(5分) 2. 设X=8C3E(H),Y=B6DF(H),Z=54D2(H)。求X∧Y⊕Z=? (5分) 七.设计题(10分) 某机字长16 位,主存按字编址,容量为8MW,请用如下RAM芯片为该机设计一个主存。 A A0 07 1.地址线和数据线各有多少根? 2.共用多少这种芯片? 3.画出其组成框图,并正确标出各信号线。

计算机组成原理

字长为4,采用补码表示,则表示范围为() A.-8至8 B.-7至8 C.-8至7 D.0至15 B 2. 计算机中进行定点加减运算基本上都是采用()。 A.补码 B.原码 C.反码 D.以上都是 A 3. 通过选择组合逻辑网络可以实现多钟功能的算数逻辑运算。 A.正确 B.错误 A 4. 数值数据和逻辑数据机器内部都表示成为二进制数串。 A.正确 B.错误 A 5. 下面哪一个不属于第一台通用计算机的特征() A.用离散符号表示数据 B.使用电子运算装置 C.不可编写程序 D.图灵完备

6. 在位片式运算器AM2901中,通用寄存器含有()个4位字长的寄存器,用双口RAM实现,具有双端口输出功能。 A.4 B.8 C.16 D.32 C 7. CPI是处理器每秒处理指令条数的指标。 A.正确 B.错误 B 8. 处于计算机系统的层次结构中最低层的是() A.汇编语言层 B.机器语言层 C.微程序设计层 D.操作系统层 C 9. 第四代电子数字计算机的典型特征是使用(),所以也被成为集成电路计算机时代。 A.电子管 B.晶体管 C.集成电路 D.大规模电路 D

()是计算器实际完成数据算术运算和逻辑运算的部件。 A.计算单元 B.运算器 C.加法器 D.算术逻辑单元 D 1. 两数补码的和等于两数和的补码。 A.正确 B.错误 A 2. ()组成了计算机的“大脑”。 A.运算器和控制器 B.运算器和存储器 C.控制器和I/O D.存储器和控制器 A 3. 在位片式运算器AM2901中,通用寄存器含有()个4位字长的寄存器,用双口RAM实现,具有双端口输出功能。 A.4 B.8 C.16 D.32 C 4.

计算机组成原理练习题答案

一、选择题 1、完整得计算机系统应包括运算器、存储器、控制器。 一个完整得计算系统应该就是:硬件系统与软件系统,硬件系统应该包括运算器,控制器,存储器,输入设备与输出设备,软件系统包括系统软件与应用软件、而您给得答案中B与D就是可以排除得,也就就是不能选,A与C两个中A得可能性最大,答案只能选A、 3、冯、诺依曼计算机工作方式得基本特点就是按地址访问并顺序执行指令. 4、移码表示法主要用于表示浮点数中得阶码。 5、动态RAM得刷新就是以行为单位得。 8、在定点运算器中产生溢出得原因就是运算得结果得超出了机器得表示范围。 10、在指令得地址字段中,直接指出操作数本身得寻址方式,称为立即寻址. 11、目前得计算机,从原理上讲指令与数据都以二进制形式存放. 13、计算机问世至今,新型机器不断推陈出新,不管怎样更新,依然保有“存储程序”得概念,最早提出这种概念得就是冯、诺依曼。 16、在CPU中,跟踪后继指令地址得寄存器就是程序计数器。 20、系统总线中地址总线得作用就是用于选择指定得存储单元或外设。 21、计算机中得主机包含运算器、控制器、存储器。 23、原码一位乘运算,乘积得符号位由两个操作数得符号进行异或运算. 24、对于真值“0”表示形式唯一得机器数就是移码与补码。 25、若[X]补=0、0100110,则[X]反= 0、0100110。--x为正数 26、在CPU中,存放当前执行指令得寄存器就是指令寄存器。 保存当前正在执行得指令得寄存器称为(指令寄存器)。 指示当前正在执行得指令地址得寄存器称为(程序计数器或指令计数器)。 27、下列编码中通常用作字符编码得就是ASCII码。 ASCII ASCII(American Standard CodeforInformationInterchange,美国信息互换标准代码)就是基于拉丁字母得一套电脑编码系统.它主要用于显示现代英语与其她西欧语言。它就是现今最通用得单字节编码系统,并等同于国际标准ISO/IEC646。28、在下列存储器中,半导体存储器可以作为主存储器. 30、在CPU中跟踪指令后继地址得寄存器就是PC。 31、EPROM就是指光擦除可编程得只读存储器。

现代计算机组成原理实验报告微程序设计

课程实验项目目录 (该表格根据实验项目数适当增减)

实验八微程序设计 一、实验目的: 1.掌握微程序控制器的组成及工作过程; 二、预习要求: 1.复习微程序控制器工作原理; 2.预习本电路中所用到的各种芯片的技术资料。 三、实验设备: EL-JY-II型计算机组成原理实验系统一台,连接线若干。 四、电路组成: 微程序控制器的原理图见图4-1(a)、4-1(b)、4-1(c)。 图4-1(a)控制存储器电路 图4-1(b)微地址形成电路

图4-1(c)微指令译码电路 以上电路除一片三态输出8D触发器74LS374、三片EEPROM2816和一片三态门74LS245,其余逻辑控制电路均集成于EP1K10内部。28C16、74LS374、74LS245芯片的 技术资料分别见图4-2~图4-4。 图4-2(a)28C16引脚图4-2(b)28C16引脚说明

图4-2(c)28C16工作方式选择 图4-3(a)74LS374引脚图4-3(b)74LS374功能 图4-4(a)74LS245引脚图4-4(b)74LS245功能 五、工作原理: 1、写入微指令 在写入状态下,图4-1(a)中K2须为高电平状态,K3须接至脉冲/T1端,否则无法写入。MS1—MS24为24位写入微代码,在键盘方式时由键盘输入,在开关方式时由24位微代码开关提供。uA5—uA0为写入微地址,在键盘方式时由键盘输入,

在开关方式时由微地址开关提供。K1须接低电平使74LS374有效,在脉冲T1时刻,uAJ1的数据被锁存形成微地址(如图4-1(b)所示),同时写脉冲将24位微代码写入当前微地址中(如图4-1(a)所示)。 2、读出微指令 在写入状态下,图4-1(a)中K2须为低电平状态,K3须接至高电平。K1须接低电平使74LS374有效,在脉冲T1时刻,uAJ1的数据被锁存形成微地址uA5—uA0(如图4-1(b)所示),同时将当前微地址的24位微代码由MS1—MS24输出。 3、运行微指令 在运行状态下,K2接低电平,K3接高电平。K1接高电平。使控制存储器2816处于读出状态,74LS374无效因而微地址由微程序内部产生。在脉冲T1时刻,当前地址的微代码由MS1—MS24输出;T2时刻将MS24—MS7打入18位寄存器中,然后译码输出各种控制信号(如图4-1(c)所示,控制信号功能见实验五);在同一时刻MS6—MS1被锁存,然后在T3时刻,由指令译码器输出的SA5—SA0将其中某几个触发器的输出端强制置位,从而形成新的微地址uA5—uA0,这就是将要运行的下一条微代码的地址。当下一个脉冲T1来到时,又重新进行上述操作。 4、脉冲源和时序: 在开关方式下,用脉冲源和时序电路中“脉冲源输出”作为时钟信号,f的频率为1MHz,f/2的频率为500KHz,f/4的频率为250KHz,f/8的频率为125KHz,可根据实验自行选择一种频率的方波信号。每次实验时,只需将“脉冲源输出”的四个方波信号任选一种接至“信号输入”的“fin”,时序电路即可产生4种相同频率的等间隔的时序信号T1~T4。电路提供了四个按钮开关,以供对时序信号进行控制。工作时,如按一下“单步”按钮,机器处于单步运行状态,即此时只发送一个CPU周期的时序信号就停机,波形见图4-8。利用单步运行方式,每次只读一条微指令,可以观察微指令的代码与当前微指令的执行结果。如按一下“启动”按钮,机器连续运行,时序电路连续产生如图4-9的波形。此时,按一下“停止”按钮,机器停机。 图4-8 单步运行波形图

相关文档
相关文档 最新文档