文档库 最新最全的文档下载
当前位置:文档库 › 实验4三态输出触发器及锁存器

实验4三态输出触发器及锁存器

实验4三态输出触发器及锁存器
实验4三态输出触发器及锁存器

实验8 三态输出触发器及锁存器

一、实验目的

1.掌握三态触发器和锁存器的功能及使用方法.

2.学会用三态触发器和锁存器构成的功能电路。

二、实验仪器及材料

1.双踪示波器

2.器件:CD4043 三态输出四R—S触发器一片

74LS75 四位D锁存器一片

三、实验内容

1.锁存器功能及应用

图4.I为74LS75四D锁存器,每两个D锁存器由一个锁存信号G控制,当G为高电平时,输出端Q随输入端D信号的状态变化,当G由高变为低时,Q 锁存在G端由高变低前Q的电平上。

图4.l

(l).验证图4.l锁存器功能,并列出功能状态表。

(2).用74LS75组成数据锁存器

按图27。2接线,1D~4D接逻辑开关作为数据输入端,G1,2和G 3,4 接到一起作为锁存选通信号ST,IQ~4Q分别接到7段译码器的A-D端,

数据输出由数码管显示。

设:逻辑电平H为“l”、L为“0”

ST=l,输入0001,0011,0111,观察数码管显示。

ST=0,输入不同数据,观察输出变化。

2.三态输出触发器功能及应用

4043为三态R-S触发器,其包含有4个R-S触发器单元,输出端均用CMOS传输门对输出状态施加控制。当传输门截止时,电路输出呈

“三态”,即高阻状态。管脚排列见图4.3。

(1).三态输出R-S触发器功能测试

验证RS触发器功能,并列出功能表。

注意:(a).不用的输入端必须接地,输出端可悬空。

(b).注意判别高阻状态,参考方法:输出端为高阻状态时用万用

表电压档测量电压为零,用电阻档测量电阻为无穷大

实验四 触发器实验

数字电路与逻辑设计实验 ——触发器实验 姓名:李文科 学号:20131060044 学院:信息学院 专业:计算机科学与技术 指导教师:陈志坚 2014年11月22日

一、 实验目的 1. 熟悉并掌握RS 、D 、JK 触发器的构成,工作原理和功能测试方法。 2. 学会正确使用触发器集成芯片。 3. 了解不同逻辑功能触发器FF 相互转换的方法。 二、 实验仪器及材料 1. 双踪示波器 2. 器件: 74LS00 二输入端四“与非”门 1片 74LS74 双D 触发器 1片 74LS112 双JK 触发器 1片 三、 实验内容 1. 基本RS 触发器(RS-FF )功能测试 两个TTL 与非门首尾相接构成的基本RS-FF 的电路如图4.1所示。 (1) 试按下面的顺序在S d ???、R d ????端加信号: S d ???=0 R d ????=1 S d ???=1 R d ????=1 S d ???=1 R d ????=0 S d ???=1 R d ????=1 观察并记录FF 的Q 、Q ?端的状态,将结果填入表4.1中,并说明在上述各种输入状态下,FF 执行的是什么功能? (2) S d ???端接低电平,R d ????端加脉冲。 Q =1, Q ?=R d ???? (3) S d ???端接高电平,R d ????端加脉冲。 Q =0,Q ?=1 (4) 连接S d ???、R d ????,并加脉冲。 图4.1:基本RS-FF 电路 表4.1

Q= Q ?=1 记录并观察(2)、(3)、(4)三种状态下,Q ,Q ?,端的状态。从中你能否总结出RS-FF 的Q 或Q ?端的状态改变和输入端S d ???、R d ????的关系。 S d ???=0 R d ????=1 置Q=1 S d ???=1 R d ????=1 保持 S d ???=1 R d ????=0 置Q=0 (5) 当S d ???、R d ????都接低电平时,观察Q ,Q ?端的状态。当S d ???、R d ????同时由低电平跳为高电平时,注意观察Q ,Q ?端的状态,重复3-5次看Q ,Q ?端的状态是否相同,以正确理解“不定”状态的含义。 2. 维持阻塞型D-FF 功能测试 双D 型正边沿维持阻塞型触发器74LS74的逻辑符号如图4.2所示。图中S d ???、R d ????端为异步置1端、置0端(或称异步置位复位端)。CP 为时钟脉冲。 试按下面的步骤做实验: (1) 分别在S d ???、R d ????端加低电平,观察并记录Q , Q ?端的状态。 (2) 令S d ???、R d ????端为高电平,D 端分别加高、低电平,用单脉冲作为CP ,观察并记录当CP 为L 、↑、H 、↓时,Q 端状态的变化。 (3) 当S d ???=R d ????=H 、CP=0(或CP=1),改变D 端信号,观察Q 端的状态是否变化? 整理上述的实验数据,将结果填土表4.2中。 (4) 令S d ???=R d ????=H ,将D 和端相连,CP 加连续脉冲,用双踪示波器观察并在图4.3中记录Q 相对于CP 的波形。 图4.2:D-FF 符号 表4.2

集成触发器及其应用电路设计

华中科技大学 电子线路设计、测试与实验》实验报告 实验名称:集成运算放大器的基本应用 院(系):自动化学院 地点:南一楼东306 实验成绩: 指导教师:汪小燕 2014 年6 月7 日

、实验目的 1)了解触发器的逻辑功能及相互转换的方法。 2)掌握集成JK 触发器逻辑功能的测试方法。 3)学习用JK 触发器构成简单时序逻辑电路的方法。 4)熟悉用双踪示波器测量多个波形的方法。 (5)学习用Verliog HDL描述简单时序逻辑电路的方法,以及EDA技术 、实验元器件及条件 双JK 触发器CC4027 2 片; 四2 输入与非门CC4011 2 片; 三3 输入与非门CC4023 1 片; 计算机、MAX+PLUSII 10.2集成开发环境、可编程器件实验板及专用电缆 三、预习要求 (1)复习触发器的基本类型及其逻辑功能。 (2)掌握D触发器和JK触发器的真值表及JK触发器转化成D触发器、T触发器、T 触发器的基本方法。 (3)按硬件电路实验内容(4)(5),分别设计同步3 分频电路和同步模4 可逆计数器电路。 四、硬件电路实验内容 (1)验证JK触发器的逻辑功能。 (2)将JK触发器转换成T触发器和D触发器,并验证其功能。 (3)将两个JK触发器连接起来,即第二个JK触发器的J、K端连接在一起, 接到第一个JK触发器的输出端Q两个JK触发器的时钟端CP接在一起,并输入1kHz 正方波,用示波器分别观察和记录CP Q、Q的波形(注意它们之间的时序关系),理解2分频、4分频的概念。 (4)根据给定的器件,设计一个同步3分频电路,其输出波形如图所示。然后组装电路,并用示波器观察和记录CP Q、Q的波形。 (5)根据给定器件,设计一个可逆的同步模4 计数器,其框图如图所示。图中,M为控制变量,当M=0时,进行递增计数,当M=1时,进行递减计数;Q、 Q为计数器的状态输出,Z为进位或借位信号。然后组装电路,并测试电路的输入、输出

第5章锁存器和触发器

锁存器和触发器 1.分析图1所示电路的功能,列出功能表。 图1 2.若图2 a所示电路的初始状态为Q = 1,E、S、R端的输入信号如图2 b 所示,试画出相应Q和Q端的波形。 S G3 Q Q E R S (a) (b) 图2 3.试用1片八D锁存器74HC373设计一个能锁存两位BCD码信号的锁存电路。假定三态输出使能端OE=0,锁存器原输出Q7Q6Q5Q4Q3Q2Q1Q0=10010100(94D),而输入为D7D6D5D4D3D2D1D0=10010101(95D),画出锁存器锁存新数据前、后使能端LE应输入的波形和相应Q 的波形。 4.触发器的逻辑电路如图4所示,确定其应属于何种电路结构的触发器。

9 Q Q 图4 5.上升沿触发和下降沿触发的D触发器逻辑符号及时钟信号CP (CP)和D 的波形如图5所示。分别画出它们的Q端波形。设触发器的初始状态为0。 D D D CP(CP) 图5 6.设下降沿触发的JK触发器初始状态为0,CP、J、K信号如图6所示,试画出触发器Q端的输出波形。 J K 图6 7.逻辑电路如图7所示,试画出在CP作用下,φ0、φ1、φ2和φ3的波形。

图7 8.电路如图 8所示,设各触发器的初态为 0,画出在CP脉冲作用下Q端波 形。 Q1 Q1 3 Q3 4 Q4 CP (a) (b) (c) (d) 图8 9.逻辑电路如图9所示,已知CP和X 的波形,试画出Q1和Q2的波形。触发 器的初始状态均为0。 X 1 X 图9 10.两相脉冲产生电路如图10所示,试画出在CP作用下φ1、φ2的波形,并 说明φ1和φ2的时间关系。各触发器的初始状态为0。

触发器是数字电路中的一种

触发器是数字电路中的一种基本单元

触发器是数字电路中的一种基本单元

第5章触发器 5.1 概述 触发器是数字电路中的一种基本单元,它与门电路配合,能构成各种各样的时序逻辑部件,如记数器、寄存器、序列信号发生器等。 一个触发器具有如下的特点: ①两个互补的输出端Q和Q;②“O”和“1”两个稳态; ③触发器翻转的特性;④记忆能力。 1.对触发器的基本要求 1)应该具有两个稳定状态——0状态和1状态 2)能够接收、保存和输出信号 2.触发器的现态和次态 现态——触发器接收输入信号之前的状态叫做现态,用Q n表示。 次态——触发器接收输入信号之后的状态叫做次态,用Q n+1表示。 3.触发器的分类 1)按照电路结构和工作特点分 基本触发器、同步触发器、主从触发器和边沿触发器 2)按照(在时钟控制下的)功能分 RS型触发器、D触发器、JK触发器、T触发器和T′触发器4.时序逻辑电路 组合逻辑电路的特点是 电路的输出仅取决于当时的输入,与电路的历史状态无关。即Z=F(X)。 时序逻辑电路的输出状态不仅与该时刻的输入有关,而且还与电路的历史状态有关。 由现在的输入状态和现在的输出状态共同决定下一次的输出状态。 电路特点 ①输入、输出之间至少有一条反馈路径; ②电路中含有贮存单元。 时序电路的一般结构如图。 X为输入变量; Z为输出变量; Q为触发器的输出,称为状态变量。Q n表示现态,Q n+1 表示次态;状态是时序电路的 输输C 触发 器的 状态 输出 控制 输入

一个重要概念。 W 为触发器的输入,也是时序电路的控制变量;CP 为时钟脉冲。 5.描述时序电路逻辑功能的方法 (1)方程式: ①输出方程:Z =F 1 (X ,Q n ) ②驱动方程:W =F 2 (X ,Q n ) ③状态方程:Q n +1= F 3 (W ,Q n ) (2)状态表 反映输入、输出、现态、次态之间的关系的表格。 (3)状态图 反映时序逻辑电路的状态转换规律及相应输入出取值情况的几何图形。 (4)时序图 表示各信号,电路状态等的取值在时间上的对应关系。 构成时序逻辑电路常用存储单元是触发器。 5.2 基本RS 触发器 5.2.1 由与非门组成 直接置0、置1,是构成各种不同功能触发器的基本单元。 用与非门构成的RS 触发器及逻辑符号如图。 1.功能分析 触发器的状态指Q 端的状态。 (1)R D =0,S D =1,则触发器置0。在R D 端加一 负脉冲(宽度>2t pd ),电路将可靠地翻转为Q =0状 态,并保持下来。 Q =0态,称为“复位状态”。 R D 端称为“复位端”或称直接置0端。 (2)R D =1,S D =0,则触发器置1。在S D 端加一 负脉冲(宽度>2t pd ),电路将可靠地翻转为Q =1状 态,并保持下来。 Q =1态,称为“置位状态”。 S D 端称为“置位端”或称直接置1端。 (3)R D =1,S D =1,则触发器保持原来的状态。 例如: Q =1,Q 、R D 的全1使Q =0,Q 的0又维持了Q 的1,这是触发器的一个稳态。同理,若Q =0,则触发器将保持另一个稳态—0态。 S Q R Q S Re

触发器实验报告

. . . . .. . 实验报告 课程名称:数字电子技术基础实验 指导老师: 周箭 成绩:__________________ 实验名称:集成触发器应用 实验类型: 同组学生姓名:__邓江毅_____ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 实验内容和原理 1、D →J-K 的转换实验 设计过程:J-K 触发器和D 触发器的次态方程如下: J-K 触发器:n n 1 +n Q Q J =Q K +, D 触发器:Qn+1=D 若将D 触发器转换为J-K 触发器,则有:n n Q Q J =D K +。 实验结果: J K Qn-1 Qn 功能 0 0 0 0 保持 1 1 0 1 0 0 置0 1 0 1 1 0 1 翻转 1 0 1 0 1 置1 1 1 (上:Qn ,下:CP ,J 为高电平时) 2、D 触发器转换为T ’触发器实验 设计过程:D 触发器和T ’触发器的次态方程如下: D 触发器:Q n+1= D , T ’触发器:Q n+1=!Q n 若将D 触发器转换为T ’触发器,则二者的次态方程须相等,因此有:D=!Qn 。 实验截图: 专业:电卓1501 姓名:卢倚平 学号:3150101215 日期:2017.6.01 地点:东三404

实验名称:集成触发器应用实验 姓名: 卢倚平 学号: 2 (上:Qn ,下:!Qn )CP 为1024Hz 的脉冲。 3、J-K →D 的转换实验。 ①设计过程: J-K 触发器:n n 1 +n Q Q J =Q K +, D 触发器:Qn+1=D 若将J-K 触发器转换为D 触发器,则二者的次态方程须相等,因此有:J=D ,K=!D 。 实验截图: (上:Qn ,下:CP ) (上:Qn ,下:D ) 4、J-K →T ′的转换实验。 设计过程: J-K 触发器:n n 1 +n Q Q J =Q K +, T ’触发器:Qn+1=!Qn 若将J-K 触发器转换为T ’触发器,则二者的次态方程须相等,因此有:J=K=1 实验截图:

数电实验触发器及其应用

数电实验触发器及其应用 数字电子技术实验报告 实验三: 触发器及其应用 一、实验目的: 1、熟悉基本RS触发器,D触发器的功能测试。 2、了解触发器的两种触发方式(脉冲电平触发和脉冲边沿触发)及触发特点 3、熟悉触发器的实际应用。 二、实验设备: 1 、数字电路实验箱; 2、数字双综示波器; 3、指示灯; 4、74LS00、74LS74。 三、实验原理: 1、触发器是一个具有记忆功能的二进制信息存储器件,是构成多种时序 电路的最基本逻辑单元,也是数字逻辑电路中一种重要的单元电路。在数字系统和计算机中有着广泛的应用。触发器具有两个稳定状态,即“0”和“ 1 ”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态。触发器有集成触发器和门电路(主要是“与非门” )组成的触发器。 按其功能可分为有RS触发器、JK触发器、D触发器、T功能等触发器。触发方式有电平触发和边沿触发两种。 2、基本RS触发器是最基本的触发器,可由两个与非门交叉耦合构成。 基本RS触发器具有置“ 0”、置“ 1”和“保持”三种功能。基本RS触发器

也可以用二个“或非门”组成,此时为高电平触发有效。 3、D触发器在CP的前沿发生翻转,触发器的次态取决于CP脉冲上升沿n+1来到之前D端的状态,即Q = D。因此,它具有置“ 0”和“T两种功能。由于在CP=1期间电路具有阻塞作用,在CP=1期间,D端数据结构变RS化,不会影响触发器的输出状态。和分别是置“ 0”端和置“ 1” DD 端,不需要强迫置“ 0”和置“ 1”时,都应是高电平。74LS74(CC4013, 74LS74(CC4042均为上升沿触发器。以下为74LS74的引脚图和逻辑图。 馬LD 1CP 1云IQ LQ GM) 四、实验原理图和实验结果: 设计实验: 1、一个水塔液位显示控制示意图,虚线表示水位。传感器A、B被水浸沿时

实验报告四 MYSQL存储过程与触发器

计算机科学系实验报告 实验要求: (在导入的教学管理STM数据库中完成): 1、基本储存过程的创建 ①创建一存储过程get_student_num,利用输出参数形式获取学生人数信息。并利用CALL调用该存储过程查看结果。 DELIMITER $$ CREATE PROCEDURE `stm`.`get_student_num`(OUT count_num CHAR(10)) BEGIN SELECT COUNT(sno) INTO count_num FROM student; END$$ DELIMITER ; CALL get_student_num(@count_num) SELECT @count_num

②创建一存储过程get_student_by_sno,通过输入学生编号作为参数,获得该学生的记录信息。并利用CALL调用该存储过程查看结果。 DELIMITER $$ CREATE PROCEDURE `stm`.`get_student_by_sno`(IN sno_in CHAR(10)) BEGIN SELECT *FROM student WHERE sno = sno_in; END$$ DELIMITER ; CALL get_student_by_sno('900262') ③创建一存储过程update_sage_by_sno,通过输入学生编号、年龄作为参数,将指定学生的年龄更改为指定的年龄。并利用CALL调用该存储过程查看结果。 DELIMITER $$ CREATE PROCEDURE `stm`.`update_sage_by_sno`(IN sno_in CHAR(13) ,sage_in INT) BEGIN UPDATE student SET sage=sage_in WHERE sno=sno_in; END$$ DELIMITER ; CALL update_sage_by_sno('900125',20) ④创建一存储过程delete_student_by_sno,通过输入学生编号作为参数,删除该学生记录。并利用CALL调用该存储过程查看结果。 DELIMITER $$ CREATE PROCEDURE `stm`.`delete_student_by_sno`(IN sno_in CHAR(10)) BEGIN DELETE FROM student WHERE sno=sno_in; END$$ DELIMITER ; CALL delete_student_by_sno('900106') ⑤创建一存储过程insert_student,通过输入相关信息作为参数,向学生表中添加一学生记录。并利用CALL调用该存储过程查看结果。 DELIMITER $$ CREATE PROCEDURE `stm`.`insert_student`(IN snox CHAR(10),snamex VARCHAR(10),ssexx VARCHAR(1),sagex SMALLINT(5),enterdatex DATETIME) BEGIN INSERT INTO student (sno,sname,ssex,sage,enterdate) VALUES (snox,snamex,ssexx,sagex,enterdatex); END$$ DELIMITER ; CALL insert_student('900104','里斯','男',21,'2010-09-12')

数字逻辑器件_按功能分类_触发器锁存器等

数字逻辑器件触发器 CD40106BM:六施密特触发器 CD4013BE:具有置位/复位功能双D型触发器 CD4013BM:具有置位/复位功能双D型触发器 CD40174BE:六D触发器 CD40174BM:六D触发器 CD40175BE:COMS四D触发器 CD4027BM96:双JK触发器 CD4093BE:二输入端四与非施密特触发器 CD4093BM:二输入端四与非施密特触发器 SN74ABT374ADW:八边沿D触发器(带3态输出) SN74ABT377ADW:八边沿D触发器(带4态输出) SN74ABT573ADW:八边沿D触发器(带4态输出) SN74ABT574ADW:八边沿D触发器(带4态输出) SN74AC574DW:八上升沿D触发器(三态输出) SN74AC74N:双上升沿D触发器(带清除和预置) SN74ACT14D:六反相施密特触发器 SN74ACT374DW:八上升沿D触发器(三态同相输出) SN74ACT374N:八上升沿D触发器(三态同相输出) SN74ACT534N:八上升沿D触发器(三态反相输出) SN74ACT564N:八上升沿D触发器(三态反相输出) SN74ACT74D:双上升沿正D触发器(有预置和清除端) SN74ACT74N:双上升沿正D触发器(有预置和清除端) SN74AHC273DW:八D触发器(带清除) SN74AHC273N:八D触发器(带清除) SN74AHC374DW:八上升沿D触发器(三态同相输出) SN74AHC374N:八上升沿D触发器(三态同相输出) SN74AHC574DW:八上升沿D触发器(三态同相输出) SN74AHC574DWR:八上升沿D触发器(三态同相输出) SN74AHC574N:八上升沿D触发器(三态同相输出) SN74AHC74D:双上升沿D触发器(有预置和清除端) SN74AHC74N:双上升沿D触发器(有预置和清除端) SN74AHC74NSR:双上升沿D触发器(有预置和清除端) SN74AHC74PW:双上升沿D触发器(有预置和清除端) SN74AHCT374DW:八上升沿D触发器(三态同相输出) SN74AHCT374N:八上升沿D触发器(三态同相输出) SN74AHCT574DW:八上升沿D触发器(三态同相输出) SN74AHCT574N:八上升沿D触发器(三态输出) SN74AHCT74D:双上升沿D触发器(有预置和清除端) SN74AHCT74N:双上升沿D触发器(有预置和清除端) SN74ALS109AN:双JK触发器 SN74ALS174N:六上升沿D触发器(有清除端)

实验四 D触发器及其应用

实验四D触发器及其应用 一、实验目的 1、熟悉D触发器的逻辑功能; 2、掌握用D触发器构成分频器的方法; 3、掌握简单时序逻辑电路的设计方法。 二、实验设备 1、数字电路实验箱 2、数字双踪示波器 3、函数信号发生器 4、集成电路:74LS00 5、集成电路:74LS74 74LS74 ?74LS74:双D触发器(上升沿触发的边沿D触发器) ?引脚的定义: 三.实验原理 时序逻辑电路: ?1、时序逻辑电路:任一时刻的输出信号不但取决于当时的输入信号,而且还取决于 电路原来的状态,与以前的输入有关。 ?2、同步时序电路 ?3、异步时序电路 D触发器 ? 1 、触发器:一个具有记忆功能的二进制信息存储器件,是构成多种时序电路的最

基本逻辑单元,也是数字逻辑电路中一种重要的单元电路。 2、D触发器在时钟脉冲CP的前沿(正跳变0→1)发生翻转,触发器的次态取决于 CP脉冲上升沿到来之前D端的状态。 四、实验内容 1、用74LS74(1片)构成二分频器、四分频器,并用示波器观察波形; 2、实现如图所示时序脉冲(74LS74和74LS00各1片) 五.实验结果 1.用74LS74(1片)构成二分频器、四分频器,并用示波器观察波形; 在CP1端加入1KHz,峰峰值为5.00V,平均值为2.50V的连续方波,并用示波器观察CP,1Q,2Q各点的波形 得到的二分频波形结果为:

得到的四分频结果为: 2、实现如图所示时序脉冲(74LS74和74LS00各1片)

2. 特征方程 3. 电路图 +1101+101 ' 10 ' =====n n n n n n Q Q D Q Q D F Q Q F F CP =?

触发器及其应用实验报告 - 图文-

实验报告 一、实验目的和任务 1. 掌握基本RS、JK、T和D触发器的逻辑功能。 2. 掌握集成触发器的功能和使用方法。 3. 熟悉触发器之间相互转换的方法。 二、实验原理介绍 触发器是能够存储1位二进制码的逻辑电路,它有两个互补输出端,其输出状态不仅与输入有关,而且还与原先的输出状态有关。触发器有两个稳定状态,用以表示逻辑状态"1"和"0飞在二定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存储器件,是构成各种时序电路的最基本逻辑单元。 1、基本RS触发器 图14-1为由两个与非门交叉祸合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。 基本RS触发器具有置"0"、置"1"和保持三种功能。通常称s为置"1"端,因为 s=0时触发器被置"1"; R为置"0"端,因为R=0时触发器被置"0"。当S=R=1时状态保持,当S=R=0时为不定状态,应当避免这种状态。

基本RS触发器也可以用两个"或非门"组成,此时为高电平有效。 S Q S Q Q 卫R Q (a(b 图14-1 二与非门组成的基本RS触发器 (a逻辑图(b逻辑符号 基本RS触发器的逻辑符号见图14-1(b,二输入端的边框外侧都画有小圆圈,这是因为置1与置。都是低电平有效。 2、JK触发器 在输入信号为双端的情况下,JK触发器是功能完善、使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK触发器,是下降边沿触发的边沿触发器。引脚逻辑图如图14-2所示;JK触发器的状态方程为: Q,,+1=J Q"+K Q 3 5

J Q CLK K B Q 图14-2JK触发器的引脚逻辑图 其中,J和IK是数据输入端,是触发器状态更新的依据,若J、K有两个或两个以上输入端时,组成"与"的关系。Q和Q为两个互补输入端。通常把Q=O、Q=1的状态定为触发器"0"状态;而把Q=l,Q=0 定为"}"状态。 JK触发器常被用作缓冲存储器,移位寄存器和计数器。 CC4027是CMOS双JK触发器,其功能与74LS112相同,但采用上升沿触发,R、S端为高电平

触发器的使用实验报告

实验II、触发器及其应用 一、实验目的 1、掌握基本RS、JK、D和T触发器的逻辑功能 2、掌握集成触发器的逻辑功能及使用方法 3、熟悉触发器之间相互转换的方法 二、实验原理 触发器具有两个稳定状态,用以表示逻辑状态“1”和“0”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存储器件,是构成各种时序电路的最基本逻辑单元。 1、基本RS触发器 如图1为两个与非门交叉耦合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。基本RS触发器具有置“0”、置“1”和“保持”三种功能。通常称为置“1” 段,因为=0(=1)时触发器被置为“1”;为置“0”端,因为=0(=1)时触发器被置“0”,当==1时状态保持;==0时,触发器状态不定,应避免此种情况发生,表1为基本RS 触发器的状态表。 图1、基本RS触发器 表1、基本RS触发器功能表 输入输出 0 1 1 0 1 0 0 1 1 1 0 0 不定不定 基本RS 2、JK触发器

在输入信号为双端的情况下,JK触发器的功能完善、使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK触发器,是下降沿出发的边沿触发器。引脚功能及逻辑符号如图2所示。 图2、74LS112双JK触发器引脚排列及逻辑符号 JK触发器的状态方程为:=J+ J和K是数据输入端,是触发器状态更新的依据,若J、K有两个或者两个以上输入端时,组成“与”的关系。和为两个互补输出端。通常把=0,=1的状态定为触发器“0” 状态;而把=1,=0定为“1”状态。下降沿触发JK触发器功能表如表2所示。 表2、JK触发器功能表 JK触发器常被用作缓冲存储器,移位寄存器和计数器。 3、D触发器 在输入信号为单端的情况下,D触发器用起来最为方便,其状态方程为=D,其输出状态的更新发生在CP脉冲的上升沿,故又称为上升沿触发的边沿触发器,触发器的状态只取决于时钟到来前D端的状态,D触发器的应用很广,可用作数字信号的寄存,移位寄存,分频和波形发生等。有很多种型号可供各种用途的需要而选用。如双D 74LS74、四D 74LS175、六D 74LS174等。 下图为双D774LS74的引脚排列及逻辑符号。功能表如表3.

实验四 基本RS触发器和D触发器

实验四基本RS触发器和D触发器 一、实验目的 1.熟悉并验证触发器的逻辑功能; 2.掌握RS和D触发器的使用方法和逻辑功能的测试方法。 二、实验预习要求 1.预习触发器的相关内容; 2.熟悉触发器功能测试表格。 三、实验原理 触发器是一个具有记忆功能的二进制信息存储器件,是构成多种时序电路的最基本逻辑单元。触发器具有两个稳定状态,即“0”和“1”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态。 1.基本RS触发器 图实验4.1 基本RS触发 器 图实验4.1为由两个与非门交叉耦合构成的基本RS触发器。基本RS触发器具有置“0”、置“1”和“保持”三种功能。通常称为置“1”端,因为=0时触发器被置“1”;端为置“0”端,因为=0时触发器被置“0”;当 = =1时,触发器状态保持。基本RS触发器也可以用两个“或非门”组成,此时为高电平有效置位触发器。 2. D触发器

D 触发器的状态方程为:Qn+1=D。其状态的更新发生在CP脉冲的边沿,74LS74(CC4013)、74LS175(CC4042)等均为上升沿触发,故又称之为上升沿触发的边沿触发器,触发器的状态只取决于时钟到来前D端的状态。D触发器应用很广,可用做数字信号的寄存、移位寄存、分频和波形发生器等。 四、实验仪器设备 1、TPE-AD数字实验箱1台 2、双D触发器74LS74 2片 3、四两输入集成与非门74LS00 1片 4、双通道示波器 1台 五、实验内容及方法 1.测试基本RS触发器的逻辑功能 按图实验4.1连接电路,用两个与非门组成基本RS触发器,输入端、接逻辑开关的输出口,输出端Q、接逻辑电平显示灯输入接口,按表实验4.1的要求测试并记录。 表实验4.1 RS触发器的逻辑功能 1 10 1 0 0 1 1 0 10 1 0 1 0 1 0 1 0 0 1 1 2.测试D触发器的逻辑功能。 (1)测试、的复位、置位功能。

实验四 触发器 实验报告

实验四触发器实验报告 徐旭东 11180243 物理112班 一、实验目的 1. 熟悉并掌握R-S、D、J-K触发器的特性和功能测试方法。 2. 学会正确使用触发器集成芯片。 3. 了解不同逻辑功能FF相互转换的方法。 二、实验仪器及材料 1. 实验仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件 74LS00 二输入端四与非门 1片 74LS74 双D触发器 1片 74LS76 双J-K触发器 1片 三、实验内容步骤及记录 1. 基本RS触发器功能测试: 两个TTL与非门首尾相接构成的基本RS触发器的电路。如图5.1所示。

(1)试按下面的顺序在S R 端加信号: d S =0 d R =1 d S =1 d R =1 d S =1 d R =0 d S =1 d R =1 观察并记录触发器的Q 、Q _ 端的状态,将结果填入 下表4.1中,并说明在上述各种输入状态下,RS 执行的是什么逻辑功能? 表4.1 d S d R Q 逻辑功能 0 1 1 1 1 1 0 1 1 1 0 0 0 0 1 1 置1 保持 置0 保持 (2)当d S 、d R 都接低电平时,观察Q 、Q _ 端的状态,当d S 、d R 同时由低电平跳为高电平时,注意观察Q 、Q _ 端的状态,重复3~5次看Q 、Q _ 端的状态是否相同,以正确理解“不定” 状态的含义。 结论: 当d S 、d R 都接低电平时,Q 和Q _ 端的状态不定。 2. 维持- 阻塞型D 触发器功能测试 双D 型正边沿维持-阻塞型触发器74LS74的逻辑符号如图4.2所示。 图中d S 、d R 端为异步置1端,置0端(或称异步置位,复位端),CP 为时钟脉冲端。试按下面步骤做实验: (1)分别在d S 、d R 端加低电平,观察并记录Q 、Q _ 端的状态。 (2)令d S 、d R 端为高电平,D 端分别接高,低电平,用点动脉 冲作为CP ,观察并记录当CP 为0、 、1、 时Q 端状态的变化。 图4.1 基本RS 触发器电 图4.2D 逻辑符号

触发器实验报告

触发器实验报告 集团标准化工作小组 #Q8QGGQT-GX8G08Q8-GNQGJ8-MHHGN#

实验报告 课程名称:数字电子技术基础实验 指导老师: 周箭 成绩:__________________ 实验名称:集成触发器应用 实验类型: 同组学生姓名:__邓江毅_____ 一、实验目的和要求(必填) 二、实验内容和原 理(必填) 三、主要仪器设备(必填) 四、操作方法和实 验步骤 五、实验数据记录和处理 六、实验结果与分 析(必填) 七、讨论、心得 实验内容和原理 1、D →J-K 的转换实验 设计过程:J-K 触发器和D 触发器的次态方程如下: J-K 触发器:n n 1+n Q Q J =Q K +, D 触发器:Qn+1=D 若将D 触发器转换为J-K 触发器,则有:n n Q Q J =D K +。 实验结果: J K Qn-1 Qn 功能 0 0 0 0 保持 1 1 0 1 0 0 置0 1 0 1 1 0 1 翻转 1 0 1 0 1 置1 1 1 实验截图: 专业:电卓1501 姓名:卢倚平 学号: 日期:地点:东三404

(上:Qn ,下:CP ,J 为高电平时) 2、D 触发器转换为T ’触发器实验 设计过程:D 触发器和T ’触发器的次态方程如下: D 触发器:Q n+1= D , T ’触发器:Q n+1=!Q n 若将D 触发器转换为T ’触发器,则二者的次态方程须相等,因此有:D=!Qn 。 实验截图: (上:Qn ,下:!Qn )CP 为1024Hz 的脉冲。 3、J-K →D 的转换实验。 ①设计过程: J-K 触发器:n n 1+n Q Q J =Q K , D 触发器:Qn+1=D 若将J-K 触发器转换为D 触发器,则二者的次态方程须相等,因此有:J=D ,K=!D 。 实验截图:

D触发器及其应用实验报告

实验五D触发器及其应用 实验人员:班号:学号: 一、实验目的 1、熟悉D触发器的逻辑功能; 2、掌握用D触发器构成分频器的方法; 3、掌握简单时序逻辑电路的设计 二、实验设备 74LS00 ,74LS74,数字电路实验箱,数字双踪示波器,函数信号发生器 三、实验内容 1、用74LS74(1片)构成二分频器、四分频器,并用示波器观察波形; 74LS74是双D触发器(上升沿触发的边沿D触发器),其管脚图如下: 其功能表如下: ○1构成二分频器:用一片74LS74即可构成二分频器。实验电路图如下:

○2构成四分频器:需要用到两片74LS74。实验电路图如下: 2、实现如图所示时序脉冲(用74LS74和74LS00各1片来实现) 将欲实现功能列出真值表如下:

Q 1n+1=Q 0n =D 1 Q 0n+1=Q 1n ????=D 0 F ′=Q 1n Q 0n ???? F =F ′?CP 连接电路图如下: 四、实验结果 1、用74LS74(1片)构成二分频器、四分频器。示波器显示波形如下: ○ 1二分频器: ○ 2四分频器:

2、实现时序脉冲。示波器显示波形如下: 五、故障排除 在做“用74LS74(1片)构成二分频器、四分频器”时,连接上示波器后,发现通道二总显示的是类似于电容放电的波形,但表现出了二分频。反复排查问题均没有发现原因。最后换了一根连接示波器的线,便得到了理想的结果。 在示波器使用时想要用U盘保存电路波形,不会操作。后来在询问了同学之后才知道只需要按“print”就好。 六、心得体会 通过此次实验,我更深入地领悟了触发器的原理和用法,还复习了示波器的用法,还学会了如何保存示波器波形。

实验四 实验4 VHDL语言进行简单时序电路——一 JK触发器的设计

实验4 VHDL语言进行简单时序电路——一JK触发器的设计一、实验目的 学习在QuartusⅡ下用VHDL语言设计简单时序电路与功能仿真的方法。 二、验仪器设备 1、PC机一台 2、QuartusⅡ。 三、实验要求 1、预习教材中的相关内容,编写出JK触发器的VHDL源程序。 2、用VHDL语言输入方式完成电路设计,编译、仿真。 四、实验内容及参考实验步骤 一、设计输入 1、开机,进入QuartusⅡ。 2、为本工程设计建立一个文件夹。 3、建立设计文件。选择File菜单之New项,选择文件类型,本设计选择VHDL File。建立一个文本编辑文件 4、输入源程序, 保存文件。注意,必须保存为vhd类型,且文件名与源程序的 实体名相同。 二、创建工程并编译 1、创建一个新的工程,将多路选择器文件加入工程。 2、编译。点击Start Compilation按钮进行编译。如果发现错误,改正后再次编 译。 三、仿真 1、建立波形文件。选择File菜单之New项,选择Other Fles中的V ector Waveform File文件类型,建立一个波形文件 2、设定仿真时间。选择菜单Edit的End Time ….项设定仿真时间域。例如1us. 3、输入端口信号。选择菜单View的Utility Windows项的Node Finder选项, 在弹出得出的对话框中单击List按钮,将需要的端口信号拖倒波形编辑器中。 4、编辑输入波形。在输入端口加上适当的信号,以便在输出端进行观察。 5、保存文件。

6、进行仿真。点击Start Simulation按钮进行仿真。 7、观察分析波形。观察仿真结果,并进行波形分析,看是否与设计相符。 五、实验报告 1、根据实验过程写出试验报告 2、总结用VHDL语言的设计流程 3、总结时序电路的设计方法。 附录 JK触发器VHDL源程序 library ieee; use ieee.std_logic_1164.all; entity jkff1 is port(j,k:in std_logic; clk:in std_logic; q,qn:out std_logic); end entity jkff1; architecture bhv of jkff1 is signal q1:std_logic; begin process(clk) begin if clk'event and clk='1' then if j='1'and k='0' then q1<='0'; elsif j='0'and k='1' then q1<='1'; elsif j='1'and k='1' then q1<=not q1; else q1<=q1; end if; end if; end process; q<=q1; qn<=not q1; end architecture bhv;

触发器是数电路中的一种基本单元

第5章触发器 5.1 概述 触发器是数字电路中的一种基本单元,它与门电路配合,能构成各种各样的时序逻辑部件,如记数器、寄存器、序列信号发生器等。 一个触发器具有如下的特点: ①两个互补的输出端Q和Q;②“O”和“1”两个稳态; ③触发器翻转的特性;④记忆能力。 1.对触发器的基本要求 1)应该具有两个稳定状态——0状态和1状态 2)能够接收、保存和输出信号 2.触发器的现态和次态 现态——触发器接收输入信号之前的状态叫做现态,用Q n表示。 次态——触发器接收输入信号之后的状态叫做次态,用Q n+1表示。 3.触发器的分类 1)按照电路结构和工作特点分 基本触发器、同步触发器、主从触发器和边沿触发器 2)按照(在时钟控制下的)功能分 RS型触发器、D触发器、JK触发器、T触发器和T′触发器4.时序逻辑电路 组合逻辑电路的特点是 电路的输出仅取决于当时的输入,与电路的历史状态无关。即Z=F(X)。 时序逻辑电路的输出状态不仅与该时刻的输入有关,而且还与电路的历史状态有关。 由现在的输入状态和现在的输出状态共同决定下一次的输出状态。 电路特点 ①输入、输出之间至少有一条反馈路径; ②电路中含有贮存单元。 时序电路的一般结构如图。 X为输入变量; Z为输出变量; Q为触发器的输出,称为状态变量。Q n表示现态,Q n+1 表示次态;状态是时序电路的 输入X输出Z CP 触发器的 状态输出

一个重要概念。 W 为触发器的输入,也是时序电路的控制变量;CP 为时钟脉冲。 5.描述时序电路逻辑功能的方法 (1)方程式: ①输出方程:Z =F 1 (X ,Q n ) ②驱动方程:W =F 2 (X ,Q n ) ③状态方程:Q n +1= F 3 (W ,Q n ) (2)状态表 反映输入、输出、现态、次态之间的关系的表格。 (3)状态图 反映时序逻辑电路的状态转换规律及相应输入出取值情况的几何图形。 (4)时序图 表示各信号,电路状态等的取值在时间上的对应关系。 构成时序逻辑电路常用存储单元是触发器。 5.2 基本RS 触发器 5.2.1 由与非门组成 直接置0、置1,是构成各种不同功能触发器的基本单元。 用与非门构成的RS 触发器及逻辑符号如图。 1.功能分析 触发器的状态指Q 端的状态。 (1)R D =0,S D =1,则触发器置0。在R D 端加一 负脉冲(宽度>2t pd ),电路将可靠地翻转为Q =0状 态,并保持下来。 Q =0态,称为“复位状态”。 R D 端称为“复位端”或称直接置0端。 (2)R D =1,S D =0,则触发器置1。在S D 端加一 负脉冲(宽度>2t pd ),电路将可靠地翻转为Q =1状 态,并保持下来。 Q =1态,称为“置位状态”。 S D 端称为“置位端”或称直接置1端。 (3)R D =1,S D =1,则触发器保持原来的状态。 例如: Q =1,Q 、R D 的全1使Q =0,Q 的0又维持了Q 的1,这是触发器的一个稳态。同理,若Q =0,则触发器将保持另一个稳态—0态。 S D Q R D Q Set Reset

555触发器及其应用

实验八 555定时器及其应用 一、实验目的 1.熟悉集成555定时器的特性参数和使用方法。 2.掌握使用555定时器组成施密特触发器的方法 3.掌握使用555定时器组成单稳态触发器的方法,定时元件RC对脉冲宽度的影响。 4.掌握使用555定时器组成自激多谐振荡器的方法和定时元件RC对振荡周期和脉冲宽度的影响。 二、实验器材 1.数字电路实验箱1台 2.示波器 1 台 3.万用表 1 只 4.集成电路:555定时器 1 只 5.元器件:电阻、电容若干只 三、实验原理和电路 1.器件特性 555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积很小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成史密特触发器、单稳态触发器及自激多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。 集成555定时器有双极性型和CMOS型两种产品。一般双极性型产品型号的最后三位数都

120 是555,CMOS 型产品型号的最后四位数都是7555.它们的逻辑功能和外部引线排列完全相同。器件电源电压推荐为4.5~12V ,最大输出电流200mA 以内,并能与TTL 、CMOS 逻辑电平相兼容。其主要参数见表8.1。 555定时器的内部电路框图及逻辑符号和管脚排列分别如图8.1和图8.2所示。 引脚功能: V i1(TH ):高电平触发端,简称高触发端,又称阈值端,标志为TH 。 V i2(TR ):低电平触发端,简称低触发端,标志为TR 。 V CO :控制电压端。 V O :输出端。 Dis :放电端。 Rd :复位端。 555定时器内含一个由三个阻值相同的电阻R 组成的分压网络,产生31V CC 和32V CC 两个基准电压;两个电压比较器C 1、C 2;一个由与非门G 1、G 2组成的基本RS 触发器(低电平触发);放电三极管T 和输出反相缓冲器G 3。 Rd 是复位端,低电平有效。复位后, 基本RS 触发器的Q 端为1(高电平),经反相缓冲器后,输出为0(低电平)。 分析图8.1的电路:在555定时器的V CC 端和地之间加上电压,并让V CO 悬空,则 比较器C 1的同相输入端接参考电压32V CC ,比较器C 2反相输入端接参考电压31V CC ,为了学习方便,我们规定: . (a) 555的逻辑符号 (b) 555的引脚排列 图8.2 555定时器逻辑符 号和引脚 图8.1 555定时器内部结构 Vi1(TH) Vi2 Vco ..

相关文档
相关文档 最新文档