文档库 最新最全的文档下载
当前位置:文档库 › 计算机组成原理试题及答案03

计算机组成原理试题及答案03

计算机组成原理试题及答案03
计算机组成原理试题及答案03

本科生期末试卷三

一.选择题(每小题1分,共10分)

1.冯·诺依曼机工作的基本方式的特点是______。

A 多指令流单数据流

B 按地址访问并顺序执行指令

C 堆栈操作

D 存贮器按内容选择地址

2.在机器数______中,零的表示形式是唯一的。

A 原码

B 补码

C 移码

D 反码

3.在定点二进制运算器中,减法运算一般通过______来实现。

A 原码运算的二进制减法器

B 补码运算的二进制减法器

C 原码运算的十进制加法器

D 补码运算的二进制加法器

4. 某计算机字长32位,其存储容量为4MB,若按半字编址,它的寻址范围是______。

A 0—4M

B B 0—2MB

C 0—2M

D 0—1M

5.主存贮器和CPU之间增加cache的目的是______。

A 解决CPU和主存之间的速度匹配问题

B 扩大主存贮器容量

C 扩大CPU中通用寄存器的数量

D 既扩大主存贮器容量,又扩大CPU中通用寄存器的数量

6.单地址指令中为了完成两个数的算术运算,除地址码指明的一个操作数外,另一个常需采用______。

A 堆栈寻址方式

B 立即寻址方式

C 隐含寻址方式

D 间接寻址方式7.同步控制是______。

A 只适用于CPU控制的方式

B 只适用于外围设备控制的方式

C 由统一时序信号控制的方式

D 所有指令执行时间都相同的方式

8.描述PCI 总线中基本概念不正确的句子是______。

A.PCI 总线是一个与处理器无关的高速外围设备

B.PCI总线的基本传输机制是猝发或传送

C. PCI 设备一定是主设备

D. 系统中只允许有一条PCI总线

9.CRT的分辨率为1024×1024像素,像素的颜色数为256,则刷新存储器的容量为______。

A 512K

B B 1MB

C 256KB

D 2MB

10.为了便于实现多级中断,保存现场信息最有效的办法是采用______。

A 通用寄存器

B 堆栈

C 存储器

D 外存

二.填空题(每小题3分,共24分)

1.在计算机术语中,将运算器和控制器合在一起称为A. ______,而将B. ______和存储器合在一起称为C. ______。

2.数的真值变成机器码可采用A. ______表示法,B. ______表示法,C.______表示法,移码表示法。

3.广泛使用的A. ______和B. ______都是半导体随机读写存储器。前者的速度比后者快,但C. ______不如后者高。

4.形式指令地址的方式,称为A.______方式,有B. ______寻址和C. ______寻址。

5. CPU从A. ______取出一条指令并执行这条指令的时间和称为B. ______。由于各种指

令的操作功能不同,各种指令的指令周期是C. ______。

6.微型机算计机的标准总线从16位的A. ______总线,发展到32位的B. ______总线和C.

______总线,又进一步发展到64位的PCI总线。

7.VESA标准是一个可扩展的标准,它除兼容传统的A. ______等显示方式外,还支持B.

______像素光栅,每像素点C. ______颜色深度。

8.中断处理过程可以A. ______进行。B. ______的设备可以中断C. _____的中断服务程序。

三.应用题

1.(11分)已知x = - 0.01111 ,y = +0.11001,

求[ x ]补,[ -x ]补,[ y ]补,[ -y ]补,x + y = ?,x – y = ?

2.(11分)假设机器字长16位,主存容量为128K字节,指令字长度为16位或32位,

共有128条指令,设计计算机指令格式,要求有直接、立即数、相对、基值、间接、变址六种寻址方式。

3.(11分)某机字长32位,常规设计的存储空间≤32M ,若将存储空间扩至256M,请

提出一种可能方案。

4.(11分)图B3.1所示的处理机逻辑框图中,有两条独立的总线和两个独立的存贮器。已

知指令存贮器IM最大容量为16384字(字长18位),数据存贮器DM最大容量是65536字(字长16位)。各寄存器均有“打入”(R in)和“送出”(R out)控制命令,但图中未标出。

图B3.1

设处理机格式为:

加法指令可写为“ADD X(R1)”。其功能是(AC0)+ ((R i)+ X)→AC1,其中((R i)+ X)部分通过寻址方式指向数据存贮器,现取R i为R1。试画出ADD指令从

取指令开始到执行结束的操作序列图,写明基本操作步骤和相应的微操作控制信号。5.(11分)总线的一次信息传送过程大致分哪几个阶段?若采用同步定时协议,请画出读数据的时序图来说明。

6.(11分)图B3.2是从实时角度观察到的中断嵌套。试问,这个中断系统可以实行几重中断?并分析图B3.2的中断过程。

图B3.2

本科生期末试卷三答案

一.选择题

1 B

2 B

3 D

4 C

5 A

6 C

7 C

8 C

9 B 10 B

二.填空题

1. A.CPU B.CPU C.主机

2. A.原码 B.补码 C.反码

3. A.SRAM B.DRAM C.集程度

4. A.指令寻址 B.顺序 C.跳跃

5. A.存储器 B.指令周期 C.不相同的

6. A.ISA B.EISA C.VISA

7. A.VGA B.1280×1024 C.24位

8.A.嵌套 B.优先级高 C.优先级地

三.应用题

1.解:[ x ]原= 1.01111 [ x ]补= 1.10001 所以:[ -x ]补= 0.01111

[ y ]原= 0.11001 [ y ]补= 0.11001 所以:[ -y ]补= 1.00111

[ x ]补11.10001 [ x ]补11.10001

+ [ y ]补00.11001 + [ -y ]补11.00111

[ x + y ]补00.01010 [ x - y ]补10.11000

所以: x + y = +0.01010 因为符号位相异,结果发生溢出

2.解:由已知条件,机器字长16位,主存容量128KB / 2 = 64KB字,因此MAR = 18位,

共128条指令,故OP字段占7位。采用单字长和双字长两种指令格式,其中单字长指令用于算术逻辑和I / O类指令,双字长用于访问主存的指令。

3 2 0

15 9 8 6 5

寻址方式由寻址模式X定义如下:

X = 000 直接寻址 E = D(64K)

X = 001 立即数 D = 操作数

X = 010 相对寻址 E = PC + D PC = 16位

X = 011 基值寻址 E = R b + D ,R b =16 位

X = 100 间接寻址 E = (D)

X = 101 变址寻址 E = R X + D ,R X = 10位

3.解:可采用多体交叉存取方案,即将主存分成8个相互独立、容量相同的模块M0,M1,

M2,…M7,每个模块32M×32位。它各自具备一套地址寄存器、数据缓冲寄存器,各自以同等的方式与CPU传递信息,其组成结构如图B3.3:

图B3.3

CPU访问8个存贮模块,可采用两种方式:一种是在一个存取周期内,同时访问8个存贮模块,由存贮器控制它们分时使用总线进行信息传递。另一种方式是:在存取周期内分时访问每个体,即经过1 / 8存取周期就访问一个模块。这样,对每个模块而言,从CPU给出访存操作命令直到读出信息,仍然是一个存取周期时间。而对CPU来说,它可以在一个存取周期内连续访问8个存贮体,各体的读写过程将重叠进行。

4.解:加法指令“ADD X(R i)”是一条隐含指令,其中一个操作数来自AC0,另一个操

作数在数据存贮器中,地址由通用寄存器的内容(R i)加上指令格式中的X量值决定,可认为这是一种变址寻址。因此,指令周期的操作流程图如图B3.4:相应的微操作控制信号列在框图外。

图B3.4

5.解:分五个阶段:请求总线,总线仲裁,寻址(目的地址),信息传送,状态返回(错

误报告)。如图B3.5

图B3.5

6.解:该中断系统可以实行5重中断,中断优先级的顺序是,优先权1最高,主程序

运行于最低优先权(优先权为6)。图B3.2中出现了4重中断。图B3.2中中断过程如下:主程序运行到T1时刻,响应优先权4的中断源的中断请求并进行中断服务;到T3时刻,优先权4的中断服务还未结束,但又出现了优先权3的中断源的中断请求;暂停优先权4的中断服务,而响应优先权3的中断。到T4时刻,又被优先权2的中断源所中断,直到T6时刻,返回优先权3的服务程序,到T7时刻,又被优先权1的中断源所中断,到T8时刻,优先权1的中断服务完毕,返回优先权3的服务程序,直到T10优先权3的中断服务结束,返回优先权4的服务程序,优先权4的服务程序到T11结束,最后返回主程序。图B3.2中,优先

权3的服务程序被中断2次,而优先权5的中断又产生。

《计算机组成原理》第03章在线测试-成考

《计算机组成原理》第03章在线测试 A B C D 、在定点二进制运算器中 A B C D 74181ALU具有如下进位传递功能:______ A B C D 、在定点运算器中,无论采用双符号位还是单符号位必须有______,它一般用______来实现。 A B C D 、在定点数运算中产生溢出的原因是______ A B C D

D、按操作要求控制数据输出的部件:输出移位和多路开关 E、计算器与其它部件进行信息传送的总线以及总线接收器与发送器;总线接收器与发送器通常是由三态门 构成的 2、浮点加减运算的运算步骤有哪些? A、对阶 B、求和 C、规格化 D、舍入 E、溢出判断 3、原码加减交替法的规则是: A、当余数为正时,商上1,余数左移一位,减除数绝对值得新余数 B、当余数为负时,商上0,余数左移一位,加除数绝对值得新余数 C、当余数为正时,商上1,余数右移一位,减除数绝对值得新余数 D、当余数为负时,商上0,余数右移一位,加除数绝对值得新余数 4、BCD码中的有权码包括: A、2421码 B、模4码 C、84-2-1码 D、余3码 5、原码一位乘法中,部分积的符号位需要几位? A、1 B、2 C、3 D、4

正确错误 、只有带符号数的运算才有可能产生溢出 正确错误 、在浮点数的运算中、阶码和尾数运算是分别进行的,将二个浮点数的运算转换成四个定点数的相关运算。 正确错误 、浮点除法运算的方法是商的尾数由两数的尾数相除求得,商的阶码由两数阶码相加求得。 正确错误 、当两个单符号位补码进行加减运算时,若最高数值位向符号位的进位值与符号位产生的进位输出值相异,则溢出正确错误

计算机组成原理实验-实验二

实验报告 课程名称计算机组成原理部件实验 实验项目实验二运算器组成实验 系别___ _计算机学院 _ ______ 专业___ 计算机科学与技术 ___ 班级/学号___计科1601/55___ 学生姓名 ______罗坤__ ________ 实验日期_(2018年4月12日) 成绩_______________________ 指导教师吴燕

实验二运算器组成实验一.实验目的 (1)掌握算术,逻辑运算单元的工作原理。 (2)熟悉多通用寄存器结构的简单运存器。 (3)进一步熟悉运算器的结构传送通路及控制方法。(4)按给定的各种操作流程完成运算。 二.实验电路

三.试验设备 数据通路板(B板)、控制信号板(A板)各一块。 四.实验数据 R0 ○OH→R0 SW=OH SW-BUS Ys1Ys0=11 LDR0,T4 R1 ○**H→R1 SW=**H SW-BUS Ys1Ys0=11 LDR1,T4 ○(R1)→DR1 YS1YS0=00 R1-BUS LDDR1,T4 ○(DR1)+1→R1 000001 ALU YS1YS0=11 LDR1,T4 YS1YS0=00 R1-BUS R2 ○**H→R2 SW=**H SW-BUS YS1YS0=11 LDR2,T4 ○(R2)→DR2 YS1YS0=00 R2-BUS LDDR2,T4 ○(DR2非)→R2 010110 ALU YS1YS0=11

YS1YS0=00 R2-BUS R1,R0 ○**H→R1 SW=**H SW-BUS Ys1Ys0=11 LDR1,T4 ○(R1)→DR2 YS1YS0=00 R2-BUS LDDR2,T4 ○(DR2) →R0 YS1YS0=00 LDR0,T4 YS1YS0=00 R0-BUS R1,R0 ○**H→R1 SW=**H SW-BUS Ys1Ys0=11 LDR1,T4 ○(R1)→DR1 YS1YS0=00 R1-BUS LDDR1,T4 ○**H→R0 SW=**H SW-BUS Ys1Ys0=11 LDR0,T4 ○(R0)→DR2 YS1YS0=00 R2-BUS LDDR2,T4 ○(DR1)-(DR2)→R0 011001 ALU YS1YS0=11 LDR2,T4 YS1YS0=00

计算机组成原理期末试题及答案

第一章计算机系统概论 计算机的硬件是由有形的电子器件等构成的,它包括运算器、存储器、控制器、适配器、输入输出设备。早起将运算器和控制器合在一起称为CPU(中央处理器)。目前的CPU包含了存储器,因此称为中央处理器。存储程序并按地址顺序执行,这是冯·诺依曼型计算机的工作原理,也是CPU自动工作的关键。 计算机系统是一个有硬件、软件组成的多级层次结构,它通常由微程序级、一般程序级、操作系统级、汇编语言级、高级语言级组成,每一级上都能进行程序设计,且得到下面各级的支持。 习题:4冯·诺依曼型计算机的主要设计思想是什么它包括那些主要组成部分 主要设计思想是:存储程序通用电子计算机方案,主要组成部分有:运算器、逻辑控制装置、存储器、输入和输出设备 5什么是存储容量什么是单元地址什么是数据字什么是指令字 存储器所有存储单元的总数称为存储器的存储容量。每个存储单元都有编号,称为单元地址。如果某字代表要处理的数据,称为数据字。如果某字为一条指令,称为指令字 7指令和数据均存放在内存中,计算机如何区分它们是指令还是数据 每一个基本操作称为一条指令,而解算某一问题的一串指令序列,称为程序 第二章运算方法和运算器

按 对阶操作。 直接使用西文标准键盘输入汉字,进行处理,并显示打印汉字,是一项重大成就。为此要解决汉字的输入编码、汉字内码、子模码等三种不同用途的编码。 1第三章内部存储器 CPU能直接访问内存(cache、主 存) 双端口存储器和多模块交叉存储器属于并行存储器结构。 cache是一种高速缓冲存储器,是为了解决CPU和主存之间速度不匹配而采用的一项重要的硬件技术,并且发展为多级cache体系,指令cache与数据cache分设体 系。要求cache的命中率接近于1 适度地兼顾了二者的优点又尽量避免其缺点,从灵活性、命中率、硬件投资来说较为理想,因而得到了普遍采用。 习题:1设有一个具有20位地址和32位字长的存储器,问: (1)该存储器能存储多少个字节的信息

(完整版)计算机组成原理期末考试试题及答案

计算机组成原理试题 一、选择题(共20分,每题1分) 1.零地址运算指令在指令格式中不给出操作数地址,它的操作数来自____C__。 A.立即数和栈顶; B.暂存器; C.栈顶和次栈顶; D.累加器。 2.___C___可区分存储单元中存放的是指令还是数据。 A.存储器; B.运算器; C.控制器; D.用户。 3.所谓三总线结构的计算机是指_B_____。 A.地址线、数据线和控制线三组传输线。 B.I/O总线、主存总统和DMA总线三组传输线; C.I/O总线、主存总线和系统总线三组传输线; D.设备总线、主存总线和控制总线三组传输线.。 4.某计算机字长是32位,它的存储容量是256KB,按字编址,它的寻址范围是_____B_。 A.128K; B.64K; C.64KB; D.128KB。 5.主机与设备传送数据时,采用___A___,主机与设备是串行工作的。 A.程序查询方式; B.中断方式; C.DMA方式; D.通道。 6.在整数定点机中,下述第___B___种说法是正确的。 A.原码和反码不能表示-1,补码可以表示-1; B.三种机器数均可表示-1; C.三种机器数均可表示-1,且三种机器数的表示范围相同; D.三种机器数均不可表示-1。 7.变址寻址方式中,操作数的有效地址是___C___。 A.基址寄存器内容加上形式地址(位移量); B.程序计数器内容加上形式地址; C.变址寄存器内容加上形式地址; D.以上都不对。 8.向量中断是___C___。 A.外设提出中断; B.由硬件形成中断服务程序入口地址; C.由硬件形成向量地址,再由向量地址找到中断服务程序入口地址

计算机组成原理实验

计算机组成原理 一、8 位算术逻辑运算 8 位算术逻辑运算实验目的 1、掌握简单运算器的数据传送通路组成原理。 2、验证算术逻辑运算功能发生器74LS181的组合功能。 8 位算术逻辑运算实验内容 1、实验原理 实验中所用的运算器数据通路如图3-1所示。其中运算器由两片74LS181以并/串形成8位字长的ALU构成。运算器的输出经过一个三态门74LS245(U33)到ALUO1插座,实验时用8芯排线和内部数据总线BUSD0~D7插座BUS1~6中的任一个相连,内部数据总线通过LZD0~LZD7显示灯显示;运算器的两个数据输入端分别由二个锁存器74LS273(U29、U30)锁存,两个锁存器的输入并联后连至插座ALUBUS,实验时通过8芯排线连至外部数据总线EXD0~D7插座EXJ1~EXJ3中的任一个;参与运算的数据来自于8位数据开并KD0~KD7,并经过一三态门74LS245(U51)直接连至外部数据总线EXD0~EXD7,通过数据开关输入的数据由LD0~LD7显示。 图中算术逻辑运算功能发生器74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M并行相连后连至SJ2插座,实验时通过6芯排线连至6位功能开关插座UJ2,以手动方式用二进制开关S3、S2、S1、S0、CN、M来模拟74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M;其它电平控制信号LDDR1、LDDR2、ALUB`、SWB`以手动方式用二进制开关LDDR1、LDDR2、ALUB、SWB来模拟,这几个信号有自动和手动两种方式产生,通过跳线器切换,其中ALUB`、SWB`为低电平有效,LDDR1、LDDR2为高电平有效。 另有信号T4为脉冲信号,在手动方式下进行实验时,只需将跳线器J23上T4与手动脉冲发生开关的输出端SD相连,按动手动脉冲开关,即可获得实验所需的单脉冲。 2、实验接线 本实验用到4个主要模块:⑴低8位运算器模块,⑵数据输入并显示模块,⑶数据总线显示模块,⑷功能开关模块(借用微地址输入模块)。

《计算机组成原理》第03章在线测试

《计算机组成原理》第03章在线测试 《计算机组成原理》第03章在线测试剩余时间:46:34 答题须知:1、本卷满分20分。 2、答完题后,请一定要单击下面的“交卷”按钮交卷,否则无法记录本试卷的成绩。 3、在交卷之前,不要刷新本网页,否则你的答题结果将会被清空。 第一题、单项选择题(每题1分,5道题共5分) 1、在定点运算器中,无论采用双符号位还是单符号位,必须有______,它一般用______来实现。 A、译码电路,与非门 B、编码电路,或非门 C、溢出判断电路,异或门 D、移位电路,与或非门 2、在定点数运算中产生溢出的原因是______。 A、运算过程中最高位产生了进位或借位 B、参加运算的操作数超过了机器的表示 范围 C、运算的结果的操作数超过了机器的表 示范围 D、寄存器的位数太少,不得不舍弃最低 有效位 3、下溢指的是______。 A、运算结果的绝对值小于机器所能表示 的最小绝对值 B、运算的结果小于机器所能表示的最小 负数 C、运算的结果小于机器所能表示的最小 正数 D、运算结果的最低有效位产生的错误 4、算术/逻辑运算单元74181ALU可完成_______。 A、16种算术运算功能 B、16种逻辑运算功能 C、16种算术运算和16种逻辑运算功能 D、16位算术运算和逻辑运算功能 5、若浮点数尾数用补码表示,则运算结果是否为规格化的条件是______。 A、阶符与数符相同 B、阶符与数符相异 C、数符与尾数小数点后第一位数字相异 D、数符与尾数小数点后第一位数字相同 第二题、多项选择题(每题2分,5道题共10分)

1、运算器由哪几部分组成? A、能实现算术和逻辑运算功能的部件ALU B、存放待加工的信息或加工后的结果信息的通用寄存器组 C、按操作要求控制数据输入的部件:多路开关或数据锁存器 D、按操作要求控制数据输出的部件:输出移位和多路开关 E、计算器与其它部件进行信息传送的总线以及总线接收器与发送器;总线接收器与 发送器通常是由三态门构成的 2、浮点加减运算的运算步骤有哪些? A、对阶 B、求和 C、规格化 D、舍入 E、溢出判断 3、原码加减交替法的规则是: A、当余数为正时,商上1,余数左移一位,减除数绝对值得新余数 B、当余数为负时,商上0,余数左移一位,加除数绝对值得新余数 C、当余数为正时,商上1,余数右移一位,减除数绝对值得新余数 D、当余数为负时,商上0,余数右移一位,加除数绝对值得新余数 4、BCD码中的有权码包括: A、2421码 B、模4码 C、84-2-1码

计算机组成原理实验三运算器

实验三:八位运算器组成实验 一:实验目的: 1:掌握运算器的组成原理、工作原理; 2:了解总线数据传输结构; 3:熟悉简单的运算器的数据通路与控制信号的关系; 4:完成给定数据的算术操作、逻辑操作; 二:实验条件: 1:PC机一台; 2:MAX+PLUSⅡ软件; 三:实验内容(一) 1:所用到的芯片 74181:四位算术逻辑运算单元; 74244:收发器(双向的三态缓冲器) 74273:八位D触发器; 74374:八位D锁存器; 74163:八进制计数器; 7449:七段译码器 2:实验电路图 (1)运算器电路图 (A)数据输入电路由两个十六进制计数器连接成16*16=256进制的计数器,可以实现八位的输入。 (B)运算功能选择电路由一个十六进制计数器组成,可以实现16种不同运算的选择。再加上逻辑运算器上的M位和Cn位的选择,一共可以实现16*3=48种运算功能。内部由一个74163构成。

内部结构: (C)数码管扫描显示电路由一个扫描电路scan和一个七段译码器7449组成,scan 内部是一个二选一的多路复用器。 scan内部结构: (D)运算器电路图

(2)波形仿真图 (A)输入两个数A=05H,B=0AH,O5H DR1,0AH DR2,并通过经由74181在总线上显示。

(B)对两个数进行各种数学运算和逻辑运算。加法运算:输出控制:s4s3s2s1=0001,M=0,CN=0 输出使能:ALU_BUS=0 计算结果:05H+0AH=10H

四:实验内容(二) 给定A,B两个数,设A=05H,B=0AH,完成几种常见的算术运算和逻辑运算画出运算的波形和仿真图 (1)逻辑运算:A and B,A or B,取反/A,A⊙B,A⊕B; /A A⊕B A⊙B A and B A or B 输入控制s3s2s1s0 0000 0110 1001 1011 1110 计算结果FAH 0FH F0H 00H 0FH

计算机组成原理期末考试试题及答案

计算机组成原理期末考试试题及答案 文稿归稿存档编号:[KKUY-KKIO69-OTM243-OLUI129-G00I-FDQS58-

计算机组成原理试题 一、选择题(共20分,每题1分) 1.零地址运算指令在指令格式中不给出操作数地址,它的操作数来自______。 A.立即数和栈顶; B.暂存器; C.栈顶和次栈顶; D.累加器。 2.______可区分存储单元中存放的是指令还是数据。 A.存储器; B.运算器; C.控制器; D.用户。 3.所谓三总线结构的计算机是指______。 A.地址线、数据线和控制线三组传输线。 B.I/O总线、主存总统和DMA总线三组传输线; C.I/O总线、主存总线和系统总线三组传输线; D.设备总线、主存总线和控制总线三组传输线.。 4.某计算机字长是32位,它的存储容量是256KB,按字编址,它的寻址范围是______。 A.128K; B.64K; C.64KB; D.128KB。 5.主机与设备传送数据时,采用______,主机与设备是串行工作的。 A.程序查询方式; B.中断方式; C.DMA方式; D.通道。 6.在整数定点机中,下述第______种说法是正确的。

A.原码和反码不能表示-1,补码可以表示-1; B.三种机器数均可表示-1; C.三种机器数均可表示-1,且三种机器数的表示范围相 同; D.三种机器数均不可表示-1。 7.变址寻址方式中,操作数的有效地址是______。 A.基址寄存器内容加上形式地址(位移量); B.程序计数器内容加上形式地址; C.变址寄存器内容加上形式地址; D.以上都不对。 8.向量中断是______。 A.外设提出中断; B.由硬件形成中断服务程序入口地址; C.由硬件形成向量地址,再由向量地址找到中断服务程序入口地址 D.以上都不对。 9.一个节拍信号的宽度是指______。 A.指令周期; B.机器周期; C.时钟周期; D.存储周期。 10.将微程序存储在EPROM中的控制器是______控制器。 A.静态微程序; B.毫微程序; C.动态微程序; D.微程序。 11.隐指令是指______。 A.操作数隐含在操作码中的指令; B.在一个机器周期里完成全部操作的指令; C.指令系统中已有的指令;

计算机组成原理实验

实验一基础汇编语言程序设计 一、实验目的: 1、学习和了解TEC-XP16教学实验系统监控命令的用法。 2、学习和了解TEC-XP16教学实验系统的指令系统。 3、学习简单的TEC-XP16教学实验系统汇编程序设计。 二、预习要求: 1、学习TEC-XP16机监控命令的用法。 2、学习TEC-XP16机的指令系统、汇编程序设计及监控程序中子程序调用。 3、学习TEC-XP16机的使用,包括开关、指示灯、按键等。 4、了解实验内容、实验步骤和要求。 三、实验步骤: 在教学计算机硬件系统上建立与调试汇编程序有几种操作办法。 第一种办法,是使用监控程序的A命令,逐行输入并直接汇编单条的汇编语句,之后使用G命令运行这个程序。缺点是不支持汇编伪指令,修改已有程序源代码相对麻烦一些,适用于建立与运行短小的汇编程序。 第二种办法,是使用增强型的监控程序中的W命令建立完整的汇编程序,然后用M命令对建立起来的汇编程序执行汇编操作,接下来用G命令运行这个程序。适用于比较短小的程序。此时可以支持汇编伪指令,修改已经在内存中的汇编程序源代码的操作更方便一些。 第三种办法,是使用交叉汇编程序ASEC,首先在PC机上,用PC机的编辑程序建立完整的汇编程序,然后用ASEC对建立起来的汇编程序执行汇编操作,接下来把汇编操作产生的二进制的机器指令代码文件内容传送到教学机的内存中,就可以运行这个程序了。适用于规模任意大小的程序。

在这里我们只采用第一种方法。 在TEC-XP16机终端上调试汇编程序要经过以下几步: 1、使教学计算机处于正常运行状态(具体步骤见附录联机通讯指南)。 2、使用监控命令输入程序并调试。 ⑴用监控命令A输入汇编程序 >A 或>A 主存地址 如:在命令行提示符状态下输入: A 2000↙;表示该程序从2000H(内存RAM区的起始地址)地址开始 屏幕将显示: 2000: 输入如下形式的程序: 2000: MVRD R0,AAAA ;MVRD 与R0 之间有且只有一个空格,其他指令相同 2002: MVRD R1,5555 2004: ADD R0,R1 2005: AND R0,R1 2006: RET ;程序的最后一个语句,必须为RET 指令 2007:(直接敲回车键,结束A 命令输入程序的操作过程) 若输入有误,系统会给出提示并显示出错地址,用户只需在该地址重新输入正确的指令即可。 ⑵用监控命令U调出输入过的程序并显示在屏幕上 >U 或>U 主存地址

计算机组成原理第3章习题参考答案

第3章习题参考答案 1、设有一个具有20位地址和32位字长的存储器,问 (1) 该存储器能存储多少字节的信息? (2) 如果存储器由512K ×8位SRAM 芯片组成,需要多少片? (3) 需要多少位地址作芯片选择? 解: (1) 该存储器能存储:字节 4M 8 32220=? (2) 需要 片88 2 322 8 51232 2 19 2020 =??= ??K (3) 用512K ?8位的芯片构成字长为32位的存储器,则需要每4片为一组进行字长的位数扩展,然后再由2组进行存储器容量的扩展。所以只需一位最高位地址进行芯片选择。 2、已知某64位机主存采用半导体存储器,其地址码为26位,若使用4M ×8位的DRAM 芯片组成该机所允许的最大主存空间,并选用内存条结构形式,问; (1) 若每个内存条为16M ×64位,共需几个内存条? (2) 每个内存条内共有多少DRAM 芯片? (3) 主存共需多少DRAM 芯片? CPU 如何选择各内存条? 解: (1) 共需 条464 1664 2 26 =??M 内存条 (2) 每个内存条内共有32 8 46416=??M M 个芯片 (3) 主存共需多少 1288 464648 464 2 26 =??= ??M M M 个RAM 芯片, 共有4个内存条,故CPU 选择内存条用最高两位地址A 24和A 25通过2:4译码器实现;其余的24根 地址线用于内存条内部单元的选择。 3、用16K ×8位的DRAM 芯片构成64K ×32位存储器,要求: (1) 画出该存储器的组成逻辑框图。 (2) 设存储器读/写周期为0.5μS ,CPU 在1μS 内至少要访问一次。试问采用哪种刷新方式比较合理?两次刷新的最大时间间隔是多少?对全部存储单元刷新一遍所需的实际刷新时间是多少? 解: (1) 用16K ×8位的DRAM 芯片构成64K ×32位存储器,需要用 16 448 163264=?=??K K 个芯片,其中每4片为一组构成16K ×32位——进行字长位 数扩展(一组内的4个芯片只有数据信号线不互连——分别接D 0~D 7、D 8~D 15、

计算机组成原理期末试题

1.刷新存储器的重要性能指标是它的带宽。若显示工作方式采用分辨率为1024*768,颜色深度24位,帧频(刷新速度)为72Hz,求: 1)刷新存储器的容量是多少 2)刷新存储器的带宽是多少 1)刷新存储器的容量= 1024*768 * 24bit= 2)帧频(刷新速度)为72Hz指的是:每秒钟读72次, 所以,刷新存储器的带宽=1024*768 * 24bit *72 次/秒=162MB/s 2.试推导磁盘存储器读写一块信息所需要的总时间 读写一块总时间TB=平均找道时间Ts+平均等待时间Tw +读写传输时间Tt 读写一块总时间TB=平均找道时间+平均等待时间+读写传输时间=Ts+Tw+Tt 1)Tw 设磁盘每秒r转,每条磁道N个字,则数据传输率=rN个字/秒 转一周的时间=1/r,所以 Tw =1/2*(1/r)=1/(2r) 2)Tt 又设每块的字数是n,一旦读写头定位在该块,则Tt≈n/(rN)秒 所以TB=Ts+ 1/(2r)+ n/(rN) 秒 3.采用串行接口进行7位ASCII码传送,带有一位奇偶校验位、一位起始位和一位停止位,当波特9600波特时,字符传送率是 9600波特=9600bit/秒 =9600 bit*(1字符/10bit)/秒 =960字符/秒 4.某总线在一个总线周期中并行传送8个字节的信息,设一个总线周期等于一个总线时钟周期,总线时钟频率为70MHz,求总线带宽 Dr=8字节/T秒=8*70*10^6≈420MB/秒 5.某机器CPU中有16个寄存器,运行某中断处理程序时,仅用到其中的2个寄存器,请问响应中断而进入该中断处理程序时是否将通用寄存器内容保存到主存中去需保存几个寄存器 要将通用寄存器内容保存到主存中去。 只要保存中断处理程序用到的那2个寄存器的内容。 1.已知cache的存储周期是40ns,主存存储周期200ns, cache/主存系统平均50ns,求cache的命中率访问n个字,设命中率为H cache/主存系统的平均访问时间 =命中cache的时间+不命中cache的主存访问时间 =H*Tc+(1-H)*Tm =H*40+(1-H)*200 =50

计算机组成原理实验七

图16 启停单元布局图 序电路由1片74LS157、2片74LS00、4个LED PLS2、PLS3、PLS4)组成。当LED发光时 图17

图17 时序单元布局图 (二)启停、脉冲单元的原理 1.启停原理:(如图18) 启停电路由1片7474组成,当按下RUN按钮,信号输出RUN=1、STOP=0,表示当前实验机为运行状态。当按下STOP 按钮,信号RUN=0、STOP=1,表示当前实验机为停止状态。当 系统处于停机状态时,微地址、进位寄存器都被清零,并且可 通过监控单元来读写内存和微程序。在停止状态下,当HALT 时有一个高电平,同时HCK有一个上升沿,此时高电平被打入 寄存器中,信号输出RUN=1、STOP=0,使实验机处于运行状态。

图18 启停单元原理图 2.时序电路: 时序电路由监控单元来控制时序输出(PLS1、PLS2、PLS3、PLS4)。实验所用的时序电路(如图19)可产生4个等间隔的时序信号PLS1、PLS2、PLS3、PLS4。为了便于监控程序流程,由监控单元输出PO信号和SIGN脉冲来实现STEP(微单步)、GO (全速)和HALT(暂停)。当实验机处于运行状态,并且是微单步执行,PLS1、PLS2、PLS3、PLS4分别发出一个脉冲,全速执行时PLS1、PLS2、PLS3、PLS4脉冲将周而复始的发送出去。在时序单元中也提供了4个按钮,实验者可手动给出4个独立的脉冲,以便实验者单拍调试模型机。

图19 时序电路图 实验步骤 1.交替按下“运行”和“暂停”,观察运行灯的变化(运行:RUN 亮;暂停:RUN灭)。 2.把HALT信号接入二进制拨动开关,HCK接入脉冲单元的PLS1。按下表接线 接入开关位号 信号定 义 HCK PLS1孔 HALT H13孔 3.按启停单元中的停止按钮,置实验机为停机状态,HALT=1。 4.按脉冲单元中的PLS1脉冲按键,在HCK上产生一个上升

计算机组成原理第三章习题

第三章、内部存储器 1、存储器是计算机系统中的记忆设备,它主要是用来_____ A.存放数据 B.存放程序 C.存放数据和程序 D.存放微程序 2、存储单元是指______ A.存放一个二进制信息位的存储元 B.存放一个机器字的所有存储单元集合 C.存放一个字节的所有存储元集合 D.存放两个字节的所有存储元集合 3、计算机的存储器采用分级存储体系的主要目的是________ A.便于读写数据 B.减小机箱的体积 C.便于系统升级 D.解决存储容量、价格和存取速度之间的矛盾 5、和外存相比,内存的特点是____ A.容量大,速度快,成本低 B.容量大,速度慢,成本高 C.容量小,速度快,成本高 D.容量小,速度快,成本低 6、某单片机字长16位,它的存储容量64KB,若按字编址,那么它的寻址范围是______ A.64K B.32K C.64KB D.32KB 7、某SRAM芯片,其存储容量为64K×16位,该芯片的地址线和数据线数目为_______ A.64,16 B.16,64 C.64,8 D.16,16 8、某DRAM芯片,其存储器容量为512K×8位,该芯片的地址线和数据线数目为 ________ A.8,512 B.512,8 C.18,8 D.19,8 9、某机器字长32位,存储容量256MB,若按字编址,它的寻址范围是_______ A.1M B.512KB C.64M D.256KB 10、某机器字长32位,存储容量4GB,若按字编址,它的寻址范围是_______ A.1G B.4GB C.4G D.1GB 11、某机器字长64位,存储容量4GB,若按字编址,它的寻址范围是_______ A.4G B.2G C.0.5G D.1MB 12、某机器字长32位,存储容量4GB,若按双字编址,它的寻址范围是_______ A.4G B.5G C.8G D.2G 13、某SRAM芯片,其容量为512×8位,包括电源端和接地端,该芯片引出线的数目应为_____ A.23 B.25 C.50 D.19 14、某微型计算机系统,其操作系统保存在硬盘上,其内存储器应该采用__________ A.RAM B.ROM C.RAM 和ROM https://www.wendangku.net/doc/bc17401263.html,D 15、相联存储是按____进行寻址的存储器。 A.地址指定方式 B.堆栈存取方式 C.内容指定方式 D.地址指定方式与堆栈存取方式结合 16、交叉存储器实质上是一种____存储器,它能_____执行_____独立的读写操作。 A.模块式,并行,多个 B.模块式,串行,多个 C.整体式,并行,一个 D.整体式,串行,多个

【精品】计算机组成原理期末考试简答题重点

一、简答题 1、试述浮点数规格化的目的和方法。 答:浮点的规格化是为了使浮点数尾数的最高数值位为有效数位。当尾数用补码表示时,若符号位与小数点后的第一位不相等,则被定义为已规格化的数,否则便是非规格化数。通过规格化,可以保证运算数据的精度。 方法:进行向左规格化,尾数左移一位,阶码减1,直到规格化完毕。 2、简述循环冗余码(CRC)的纠错原理。 答:CRC码是一种纠错能力较强的校验码。在进行校验时,先将被检数据码的多项式用 生成多项式G(X)来除,若余数为0,说明数据正确;若余数不为0,则说明被检数据有错。 只要正确选择多项式G(X),余数与CRC码出错位位置的对应关系是一定的,由此可以 用余数作为判断出错位置的依据而纠正出错的数据位。 3、DRAM存储器为什么要刷新?有几种刷新方式? DRAM存储元是通过栅极电容存储电荷来暂存信息。由于存储的信息电荷终究是有泄漏的,电荷数又不能像 SRAM存储元那样由电源经负载管来补充,时间一长,信息就会丢失。为此必须设法由外界按一定规律给栅 极充电,按需要补给栅极电容的信息电荷,此过程叫“刷新”。 ①集中式---正常读/写操作与刷新操作分开进行,刷新集中完成。 ②分散式---将一个存储系统周期分成两个时间片,分时进行正常读/写操作和刷新操作。 ③异步式---前两种方式的结合,每隔一段时间刷新一次,保证在刷新周期内对整个存储器 刷新一遍。 4、CPU中有哪些主要寄存器?简述这些寄存器的功能。 (1)指令寄存器(IR):用来保存当前正在执行的一条指令。 (2)程序计数器(PC):用来确定下一条指令的地址。 (3)地址寄存器(AR):用来保存当前CPU所访问的内存单元的地址。 (4)缓冲寄存器(DR): <1>作为CPU和内存、外部设备之间信息传送的中转站。 <2>补偿CPU和内存、外围设备之间在操作速度上的差别。 <3>在单累加器结构的运算器中,缓冲寄存器还可兼作为操作数寄存器。 (5)通用寄存器(AC):当运算器的算术逻辑单元(ALU)执行全部算术和逻辑运算时,为ALU提供一个工作区。 (6)状态条件寄存器:保存由算术指令和逻辑指令运行或测试的结果建立的各种条件码内容。除此之外,还保存中断和系统工作状态等信息,以便使CPU和系统能及时了解机器运行状态和程序运行状态。 5、中断处理过程包括哪些操作步骤? 关闭中断标识,重要数据入栈, 处理中断服务功能, 数据出栈, 恢复中断标识, 开中断.

计算机组成原理实验完整版

河南农业大学 计算机组成原理实验报告 题目简单机模型实验 学院信息与管理科学学院 专业班级计算机科学与技术2010级1班 学生姓名张子坡(1010101029) 指导教师郭玉峰 撰写日期:二○一二年六月五日

一、实验目的: 1.在掌握各部件的功能基础上,组成一个简单的计算机系统模型机; 2.了解微程序控制器是如何控制模型机运行的,掌握整机动态工作过程; 3定义五条机器指令,编写相应微程序并具体上机调试。 二、实验要求: 1.复习计算机组成的基本原理; 2.预习本实验的相关知识和内容 三、实验设备: EL-JY-II型计算机组成原理试验系统一套,排线若干。 四、模型机结构及工作原理: 模型机结构框图见实验书56页图6-1. 输出设备由底板上上的四个LED数码管及其译码、驱动电路构成,当D-G和W/R均为低电平时将数据结构的数据送入数据管显示注:本系统的数据总线为16位,指令、地址和程序计数器均为8位。当数据总线上的数据打入指令寄存器、地址寄存器和程序寄存器时,只有低8位有效。 在本实验我们学习读、写机器指令和运行机器指令的完整过程。在机器指令的执行过程中,CPU从内存中取出一条机器指令到执行结束为一个指令周期,指令由微指令组成的序列来完成,一条机器指令对应一段微程序。另外,读、写机器指令分别由相应的微程序段来完成。

为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,必须设计三个控制操作微程序。 存储器读操作(MRD):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“00”时,按“单步”键,可对RAM连续读操作。 存储器写操作(MWE):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“10”时,按“单步”键,可对RAM连续写操作。 启动程序(RUN):拨动开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“11”时,按“单步”键,即可转入第01号“取指”微指令,启动程序运行。 注:CA1、CA2由控制总线的E4、E5给出。键盘操作方式有监控程序直接对E4、E5赋值,无需接线。开关方式时可将E4、E5接至控制开关CA1、CA2,由开关控制。 五、实验内容、分析及参考代码: 生成的下一条微地址 UA5 UA0 MS5 MS0 微地址

计算机组成原理实验

实验3 MIPS指令系统和MIPS体系结构 一.实验目的 (1)了解和熟悉指令级模拟器 (2)熟悉掌握MIPSsim模拟器的操作和使用方法 (3)熟悉MIPS指令系统及其特点,加深对MIPS指令操作语义的理解(4)熟悉MIPS体系结构 二. 实验内容和步骤 首先要阅读MIPSsim模拟器的使用方法,然后了解MIPSsim的指令系统。(1)、启动MIPSsim (2)、选择“配置”->“流水方式”选项,使模拟器工作在非流水方式。

(3)、参照使用说明,熟悉MIPSsim模拟器的操作和使用方法。 (4)、选择“文件”->“载入程序”选项,加载样例程序 alltest.asm,然后查看“代码”窗口,查看程序所在的位置。 (5)、查看“寄存器”窗口PC寄存器的值:[PC]= 0x00000000 。

(6)、执行load和store指令,步骤如下: 1)单步执行一条指令(F7)。 2)下一条指令地址为 0x00000004 ,是一条有(有,无)符号载入字节 (字节,半字,字)指令。 3)单步执行一条指令(F7)。 4)查看R1的值,[R1]=-128。

5)下一条指令地址为 0x00000008 ,是一条(有,无)符号载入字(字节,半字,字)指令。 6)单步执行1条指令。 7)查看R1的值,[R1]=128。 8)下一条指令地址为 0x0000000C ,是一条无(有,无)符号载入字(字节,半字,字)指令。 9)单步执行1条指令。

10)查看R1的值,[R1]=128。 11)单步执行1条指令。 12)下一条指令地址为 0x00000014 ,是一条保存字(字节,半字,字)指令。 13)单步执行一条指令。

计算机组成原理全部实验.

计算机科学技术系王玉芬2012年11月3日

基础实验部分该篇章共有五个基础实验组成,分别是: 实验一运算器实验 实验二存储器实验 实验三数据通路组成与故障分析实验 实验四微程序控制器实验 实验五模型机CPU组成与指令周期实验

实验一运算器实验 运算器又称作算术逻辑运算单元(ALU),是计算机的五大基本组成部件之一,主要用来完成算术运算和逻辑运算。 运算器的核心部件是加法器,加减乘除运算等都是通过加法器进行的,因此,加快运算器的速度实质上是要加快加法器的速度。机器字长n位,意味着能完成两个n位数的各种运算。就应该由n个全加器构成n位并行加法器来实现。通过本实验可以让学生对运算器有一个比较深刻的了解。 一、实验目的 1.掌握简单运算器的数据传输方式。 2.掌握算术逻辑运算部件的工作原理。 3. 熟悉简单运算器的数据传送通路。 4. 给定数据,完成各种算术运算和逻辑运算。 二、实验内容: 完成不带进位及带进位的算术运算、逻辑运算实验。 总结出不带进位及带进位运算的特点。 三、实验原理: 1.实验电路图

图4-1 运算器实验电路图

2.实验数据流图 图4-2 运算器实验数据流图 3.实验原理 运算器实验是在ALU UNIT 单元进行;单板方式下,控制信号,数据,时序信号由实验仪的逻辑开关电路和时序发生器提供,SW7-SW0八个逻辑开关用于产生数据,并发送到总线上;系统方式下,其控制信号由系统机实验平台可视化软件通过管理CPU 来进行控制,SW7-SW0八个逻辑开关由可视化实验平台提供数据信号。 (1)DR1,DR2:运算暂存器, (2)LDDR1:控制把总线上的数据打入运算暂存器DR1,高电平有效。 (3)LDDR2:控制把总线上的数据打入运算暂存器DR2,高电平有效。 (4)S3,S2,S1,S0:确定执行哪一种算术运算或逻辑运算(运算功能表见附录1或者课本第49页)。 (5)M :M =0执行算术操作;M =1执行逻辑操作。 (6)/CN :/CN =0表示ALU 运算时最低位加进位1;/CN =1则表示无进位。 (7)ALU -BUS :控制运算器的运算结果是否送到总线BUS ,低电平有效。 (8)SW -BUS :控制8位数据开关SW7-SW0的开关量是否送到总线,低电平有效。 四、实验步骤: 实验前首先确定实验方式(是手动方式还是系统方式),如果在做手动方式实验则将方式选择开关置手动方式位置(31个开关状态置成单板方式)。实验箱已标明手动方式和系统方式标志。所有的实验均由手动方式来实现。如果用系统方式,则必须将系统软件安装到系统机上。将方式标志置系统模式位置。学生所做的实验均在系统机上完成。其中包括高 ALU DR1 DR2 LDDR1 T4 LDDR2 T4 S1 S2 M0 S0 CN S3

计算机组成原理实验实验报告

计算机组成原理实验报告 学院信息与管理科学学院 专业班级计算机科学与技术2010级2班学生姓名毛世均 1010101046 指导教师郭玉峰 撰写日期:二○一二年六月四日

SA4=1 1.根据上边的逻辑表达式,分析58页图6-2的P1测试和P4测试两条指令的微地址转移方向。 P1测试:进行P1测试时,P1为0,其他的都为1, 因此SA4=1, SA3=I7,SA2=I6,SA1=,SA0=I4 微地址011001,下址字段为001000下址字段001000译码后,高两位不变,仍然为00,低四位受到机器指令的高四位I7-I4的影响。 机器指令的高四位为0000时,下一条微指令地址为001000,转到IN 操作。机器指令高四位0010时,下一条微指令地址为001010,转到MOV 操作。机器指令高四位为0001时,下一条微指令地址为001001,转到ADD 操作。机器指令高四位为0011时,下一条微指令地址为001011,转到OUT 操作。机器指令高四位为0100时,下一条微指令地址001100,转到JMP 操作 P4测试:进行P4测试时,P4为0,其他的都为1. 因此SA4=SA3=SA2=1,SA1=CA2,SA0=CA1 微地址000000,下址字段为010000. 010000被译码之后,高四位不变,0100低两位由CA2和CA1控制。CA2和CA1的值是由单片机的键盘填入控制的。 当实验选择CtL2=1时,CA2和CA1被填入0和1,这时低两位被译码电路翻译成01,所以下一条微地址就是010001,然后进入写机器指令的状态。当实验选择CtL2=2时,CA2和CA1被填入1和0,这时低两位被译码电路翻译成10,所以下一条微地址就是010010,然后进入读机器指令的状态。当实验选择CtL2=2时,CA2和CA1被填入1和1,这时低两位被译码电路翻译成 11,所以下一条微地址就是010011,然后进入运行机器指令的状态。 2.分析实验六中五条机器指令的执行过程。

计算机组成原理实验3-微程序控制器实验

经济管理学院信息管理与信息系统专业班 __组学号 姓名协作者教师评定_____________ 实验题目_ 微程序控制器实验_________________ 1.实验目的与要求: 实验目的:1.理解时序产生器的原理,了解时钟和时序信号的波形; 2.掌握微程序控制器的功能、组成知识; 3掌握微指令格式和各字段功能; 4.掌握微程序的编制、写入、观察微程序的运行,学习基 本指令的执行流程。 实验要求:按练习一要求完成测量波形的操作,画出TS1、TS2、TS3、TS4的波形,并测出所有的脉冲Φ的周期。按练习二的要 求输入微指令的二进制代码表,并单步运行五条机器指 令。 2.实验方案: 1.用联机软件的逻辑示波器观测时序信号: 测量Φ、TS1、TS2、TS3、TS4信号的方法: (1)按图接线,接一根即可; (2)把探笔的探头端按颜色分别插到试验仪左上角的CH1、CH2,黑探头插CH1,红探头插CH2,将黑探笔的探头插在Φ接线的上孔,将红探笔的探针夹在TS1两针之间; (3)将实验仪的STOP开关置为RUN、STEP开关置为EXEC,“SWITCH UNIT”中CLR开关置为1状态,按动START按键; (4)启动“组成原理联机软件”,点击“调试”菜单下的“显示逻辑示波器窗口”,点击示波器开关,即可在屏幕上看到波形。使用“步数”或“速度”调整波形,波形调整好后,不要用同步通道来稳定波形,应该单击示波器开关,这样整个波形都停下来;(5)鼠标停留在波形线上,会有时间提示,两者相减可以算出波形周期; (6)测完Φ和TS1后,接着测量TS1和TS2,把黑红探针分别夹在TS1两根针之间和TS2两根针之间,相互比较,可以测量TS1 和TS2之间相位关系。同理通过测量TS2、TS3可以测量出TS2

计算机组成原理期末考试A卷-含答案

广东外语外贸大学信息学院计算机系 2004—2005学年第2学期 《计算机组成原理》期末考试试卷A 考卷适用班级:计算机专业03级考试时间:120分钟 班级_______ 学号_____________姓名_________成绩_______ 一、填空题(每空1分,共20分) 1.8位二进制补码表示整数的最小值为__-128____,最大值为__127___。 2.计算机常用的校验码有奇偶校验码、海明校验码、____CRC码_____。 3.一个浮点数,当其补码尾数右移1位时,为使其值不变,阶码应该__加1____。4.ALU的基本逻辑结构是__快速进位__加法器,它比行波进位加法器优越,具有先行进位逻辑,不仅可以实现高速运算,还能完成逻辑运算。 5.采用双符号位的方法进行溢出检测时,若运算结果中两个符号位__不相同__,则表明发生了溢出。 6.要组成容量为4M×8位的存储器,需要__8__片4M×1位的存储器芯片并联,或者需要__4____片1M×8位的存储器芯片串联。 7.一台计算机所具有的各种机器指令的集合称为该计算机的__指令系统__。 8.指令编码中,操作码用来指定__操作的类型__,n位操作码最多可以表示___2n____条指令。 9.CPU中,保存当前正在执行的指令的寄存器为__指令寄存器IR_,保存下一条指令地址的寄存器为_程序计数器PC__,保存CPU访存地址的寄存器为__内存地址寄存器AR__。10.控制器在生成各种控制信号时,必须按照一定的__时序__进行,以便对各种操作实施时间上的控制。 11.微程序控制器的核心部件是存储微程序的__控制存储器____,它一般用_只读存储器_构成。 12.任何指令周期的第一步必定是__取指__周期。 13.异步方式下,总线操作周期时间不固定,通过_握手(就绪/应答)_信号相互联络。14.输入输出操作实现的CPU与I/O设备的数据传输实际上是CPU与__IO设备接口寄存器__之间的数据传输。 二、选择题(每小题1分,共20分) 1.冯·诺曼机工作方式的基本特点是___________。 A.多指令流单数据流B.按地址访问并顺序执行指令 C.堆栈操作D.存储器按内容选择地址 2.主机中能对指令进行译码的器件是_________。 A.ALU B.运算器 C.控制器D.存储器 3.运算器的主要功能是进行_______。 A.逻辑运算B.算术运算

相关文档
相关文档 最新文档