文档库 最新最全的文档下载
当前位置:文档库 › 2015年哈工大数电大作业(2)

2015年哈工大数电大作业(2)

2015年哈工大数电大作业(2)
2015年哈工大数电大作业(2)

H a r b i n I n s t i t u t e o f T e c h n o l o g y

数电大作业(2)

课程名称:数字电子技术

院系:航天学院控制科学与工程系班级:

设计者:

学号:

指导教师:陶隽源

设计时间:2015-1-5

哈尔滨工业大学

一、实验内容

利用Verilog HDL设计一个逻辑控制电路,用于对某设备进行模式切换控制,设备模式共三种,控制方式为:

a) 直通模式:高电平为直通有效,接地为直通无效;

b) 跳频模式:接地为跳频模式有效,高电平跳频无效;

c) 调谐模式:接地为调谐模式有效,高电平调谐无效。

设备的同一时刻只有且必须有一个模式有效,其他两个无效。为防止同时几个模式有效,模式切换之间应有较小的延时,利用按键作为三种模式切换输入(按键最好有消除抖动的措施)

要求:

1.编写源程序;

2.给出仿真电路图和仿真波形图。

二、程序源代码

本实验使用Xilinx软件进行编程与仿真。

module ZG2(clk,button,out);

input clk,button;

output out;

reg[1:0] current_state,next_state;

reg[2:0] out;

parameter A=2'b00,B=2'b01,C=2'b10; always@(posedge clk)

begin

current_state<=next_state;

end

always@(posedge button)

begin

case(current_state)

A:begin

out=3'b111;

next_state=B;

end

B:begin

out=3'b001;

next_state=C;

end

C:begin

out=3'b010;

next_state=A;

end

default:begin

out=3'b011;

next_state=A;

end

endcase

end

endmodule

三、仿真调试与截图

1、调试代码如下

module tiaoshi2;

// Inputs

reg clk;

reg button;

// Outputs

wire [2:0] out;

// Instantiate the Unit Under Test (UUT)

ZG2 uut (

.clk(clk),

.button(button),

.out(out)

);

// Note: CLK must be defined as a reg when using this method

parameter PERIOD = 50;

always begin

clk= 1'b0;

#(PERIOD/2) clk = 1'b1;

#(PERIOD/2);

end

initial begin

// Initialize Inputs

clk = 0;

button = 0;

// Wait 100 ns for global reset to finish

#500;

button = 1;

#50;

button = 0;

#50;

button = 1;

#500;

button = 1;

#50;

button = 0;

#50;

button = 1;

#500;

button = 1;

#50;

button = 0;

#50;

button = 1;

#500;

button = 1;

#50;

button = 0;

#50;

button = 1;

#500;

button = 1;

#50;

// Add stimulus here end

endmodule

2、仿真电路图如下

3、仿真波形图如下

四、实验总结

由波形图可以看出,能够对其进行模式切换控制,能够对直通模式、跳频模式、调谐模式此三种模式进行切换,但是消除抖动的措施并没有很好地实现。

数据库系统基础课后题

《数据库系统基础》课后练习题 数据库系统基础 课后练习题 哈尔滨工业大学计算机科学与技术学院

《数据库系统基础》课后练习题关系代数、关系元组演算、SQL语言 1.分别用关系代数、元组演算、SQL语句完成CAP数据库的查询。 CAP数据库有四个关系(表): Customers(cid, cname, city, discnt), 客户定义表,描述了客户的唯一标识 cid,客户名称cname,客户所在的城市city,以及该客户购买产品时所可能给予的折扣discnt Agents(aid, aname, city, percent), 代理商定义表,描述了代理商的唯一标识aid, 代理商名称aname, 代理商所在的城市city,以及该代理商销售产品时所可能给予的佣金/提成percent(以百分比形式表达) 哈尔滨工业大学计算机科学与技术学院

《数据库系统基础》课后练习题关系代数、关系元组演算、SQL语言 (1) 找出订单总价大于或者等于$1000的(ordno, pid)对 哈尔滨工业大学计算机科学与技术学院

《数据库系统基础》课后练习题关系代数、关系元组演算、SQL语言 (2) 找出所有价格在$0.50和$1.00之间的商品名字,包括边界价格 哈尔滨工业大学计算机科学与技术学院

《数据库系统基础》课后练习题关系代数、关系元组演算、SQL语言 (3) 找出订单价格低于$500的(ordno, cname)对,使用一次连接 哈尔滨工业大学计算机科学与技术学院

《数据库系统基础》课后练习题关系代数、关系元组演算、SQL语言 (4) 找出所有三月份接受的订单的(ordno, aname)对,使用一次连接 哈尔滨工业大学计算机科学与技术学院

(完整版)哈工大工程热力学习题答案——杨玉顺版

第二章 热力学第一定律 思 考 题 1. 热量和热力学能有什么区别?有什么联系? 答:热量和热力学能是有明显区别的两个概念:热量指的是热力系通过界面与外界进行的热能交换量,是与热力过程有关的过程量。热力系经历不同的过程与外界交换的热量是不同的;而热力学能指的是热力系内部大量微观粒子本身所具有的能量的总合,是与热力过程无关而与热力系所处的热力状态有关的状态量。简言之,热量是热能的传输量,热力学能是能量?的储存量。二者的联系可由热力学第一定律表达式 d d q u p v δ=+ 看出;热量的传输除了可能引起做功或者消耗功外还会引起热力学能的变化。 2. 如果将能量方程写为 d d q u p v δ=+ 或 d d q h v p δ=- 那么它们的适用范围如何? 答:二式均适用于任意工质组成的闭口系所进行的无摩擦的内部平衡过程。因为 u h pv =-,()du d h pv dh pdv vdp =-=-- 对闭口系将 du 代入第一式得 q dh pdv vdp pdv δ=--+ 即 q dh vdp δ=-。 3. 能量方程 δq u p v =+d d (变大) 与焓的微分式 ()d d d h u pv =+(变大) 很相像,为什么热量 q 不是状态参数,而焓 h 是状态参数? 答:尽管能量方程 q du pdv δ=+ 与焓的微分式 ()d d d h u pv =+(变大)似乎相象,但两者 的数学本质不同,前者不是全微分的形式,而后者是全微分的形式。是否状态参数的数学检验就是,看该参数的循环积分是否为零。对焓的微分式来说,其循环积分:()dh du d pv =+???蜒? 因为 0du =??,()0d pv =?? 所以 0dh =??, 因此焓是状态参数。 而对于能量方程来说,其循环积分: q du pdv δ=+???蜒?

哈工大数电大作业

H a r b i n I n s t i t u t e o f T e c h n o l o g y 数字电子技术基础大作业 课程名称:数字电子技术基础 设计题目:血型与状态机 院系: 班级: 设计者: 学号: 哈尔滨工业大学

血型逻辑电路设计 一实验目的 1.掌握采用可编程逻辑器件实现数字电路与系统的方法。 2.掌握采用Xilinx_ISE软件开发可编程逻辑器件的过程。 3.学会设计血型能否输血的数字电路。 4.掌握Verilog HDL描述数字逻辑电路与系统的方法。 二设计要求 1.采用BASYS2开发板开关,LED,数码管等制作验证能否输血的电路。 2.采用Xilinx_ISE软件进行编程、仿真与下载设计到BASYS2开发板。三电路图 1.电路模块图(简化) 应用: 2.内部电路组成(简化)

四 编程 1.源程序 module xuexing(M, N, P, Q, E,F,G,OUT,CTL,clk,bi); input M; input N; input P;

output E; output[3:0] F; output[3:0] G; output[7:0] OUT; output[3:0] CTL; reg E; reg[3:0] F; reg[3:0] G; reg[7:0] OUT; reg[7:0] OUT1; reg[7:0] OUT2; reg[7:0] OUT3; reg[7:0] OUT4; reg[3:0] CTL=4'b1110; output bi; reg bi; integer clk_cnt; reg clk_400Hz; always @(posedge clk) //400Hz扫描信号if(clk_cnt==32'd100000) begin clk_cnt <= 1'b0; clk_400Hz <= ~clk_400Hz; end else clk_cnt <= clk_cnt + 1'b1; //位控制 reg clk_1Hz; integer clk_1Hz_cnt; //1Hz发声信号 always @(posedge clk) if(clk_1Hz_cnt==32'd2*******-1) begin clk_1Hz_cnt <= 1'b0; clk_1Hz <= ~clk_1Hz; end else clk_1Hz_cnt <= clk_1Hz_cnt + 1'b1; always @(posedge clk_400Hz) CTL <= {CTL[2:0],CTL[3]}; //段控制 always @(CTL) case(CTL) 4'b0111: OUT=OUT1; 4'b1011:

哈工大 电工大作业

电子技术课程设计一评分:数字显示电子钟 班级: 学号: 姓名: 日期:2015年月日 一、题目:数字显示电子钟 二、设计要求:

1) LED数码管显示小时、分、秒; 2)可以快速校准小时、分;秒计时可以校零; 3)最大显示为23小时59分59秒; 4)秒脉冲信号由1MHz信号经分频器产生; 三、电气原理图 上图为时钟电路总图,电路由秒时钟信号发生器、计时电路和校时电路构成。1)时钟信号发生器部分如下图所示;output端输出1Hz脉冲信号,其为上图中方波脉冲发生电路; 2)计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;用数码管显示时间的译码结果; 3)校时电路采用开关控制秒时钟信号为校时脉冲以完成校时。

四、各功能块的原理说明 1)秒计时器及秒计时校零部分 由一个十进制计数器和一个六进制计数器串联而成为六十进制计数器。在电路设计中采用是74LS161反馈预置法来实现十进制与六进制功能。 图片底部按钮为秒计时校零按钮,按下按钮时,通过与门将LOAD 端置零实现秒计时器的置零

2)分、时计时器及校准部分 时计时器是由两片74LS161级联而成的二十四进制的计数器,分计时器是由两片74LS161级联而成的六十进制的计数器,采用的是反馈复位法。图片中两个计时器下部为时、分校准按钮,按动按钮相当于提供手动的脉冲,通过按动按钮,实现两个计数器的示数的改变,进而实现时间的校准。 3)秒时钟信号发生器 如图为秒脉冲信号发生器,由分频器6次分频1MHz信号产生秒脉冲,每次1/10分频,电路左上角为1MHz信号输入,output为1Hz信号输出

HIT软件学院数据库实验1

哈尔滨工业大学 <<数据库系统>> 实验报告之一 (2014年度春季学期)

实验一交互式SQL语言 一、实验目的 ●掌握SQL语句的语法 ●着重熟悉掌握利用SQL编写Select查询的方法 ●熟悉SQLite的用法 二、实验内容 ●1) 双击打开sqlite3.exe,该程序为SQLite数据库管理系统 ●2) 利用.help查看SQLite支持的控制台系统命令。注意系统命令结尾处 没有结束符“;”

●3) 阅读.help中对.databases 命令的说明,并查看输出结果 ●4) 阅读.help中对.open命令的说明,并使用该命令创建一个数据库(名 字任意)后缀名统一为“.db3”(可以没有后缀名,但不推荐) ●5) 再次运行.databases 命令,与步骤3的输出结果对比 ●6) 阅读.help中对.tables命令的说明,并使用该命令查看当前数据库的所 有表 ●7) 创建满足要求的关系表(使用create table) ●表一 ●表名:College(存储大学的信息) ●属性:cName(字符串存储的大学名字),state(字符串格式的大学所在

州),enrollment(整数形式的大学入学学费) ●表二 ●表名:Student(存储学生的信息) ●属性:sID(整数形式的学号),sName(字符串形式的学生名字),GPA (小数形式的成绩),sizeHS(整数形式的所在高中规模) ●表三 ●表名:Apply(存储学生申请学校的信息) ●属性:sID(整数形式的学号),cName(字符串形式的大学名字),major (字符串形式的专业名字),decision(字符串形式的申请结果) ●8)利用.tables查看当前数据库中的表,对比步骤6中的运行结果 ●9) 利用如下命令,将存储在txt文件中的元组导入数据库的关系中●.separator "," ●.import dbcollege.txt College ●.import dbstudent.txt Student ●.import dbapply.txt Apply

哈工大数电大作业——学号后三位为模的计数器

数字电子技术应用Verilog HDL设计计数器 学院:航天学院 班级: 学号: 姓名: 教师:

设计要求:利用Verilog HDL设计一个以自己学号后三位为模的计数器。 设计步骤:首先我的学号后三位为114,因此计数器范围是0到113一共114个数。然后根据此要求编写功能程序以及激励源的相关程序,第三步在modelsim下进行实验调试,看所编程序能否实现预期功能,然后再把相关实验数据截图记录。 程序代码: modulejishuqi(out,reset,clk); output [7:0] out; inputreset,clk; reg [7:0] out; always @(posedgeclk) begin if(!reset)out<=8'h00; else if(out>=113)out=8'h00; else out<=out+1; end endmodule 激励源设置程序: `timescale 1 ns/ 1 ps modulejishuqi_test(); regclk; reg reset; wire [7:0] out; jishuqi i1 ( .clk(clk), .out(out), .reset(reset) ); initial begin #1 clk=0; #10 reset=0; #40 reset=1; end always #20 clk=~clk ; endmodule Modelsim仿真波形图:

注二进制数01110001化成十进制数为113,因此得到了正确的波形图。RTL Viewer Technology Map Viewer

哈工大数字电路设计加减乘三则计算器

哈工大数字电路设计加减乘三则计算器

————————————————————————————————作者:————————————————————————————————日期:

H a r b i n I n s t i t u t e o f T e c h n o l o g y 数字电子技术基础大作业 课程名称:数字电子技术基础Ⅱ 设计题目:四位二进制计算器 院系: 班级: 设计者:宇之翔LEO 学号: 指导教师: 设计时间:2015年12月-2016年1月 作者声明:本大作业是本人在考试之前花费大量时间完成的,之前在网上也可以相关的文章和做法,但是水平不是很高,而且存在很多错误,我在参考的时候也受到许多误导,最终在本人汇总和潜心钻研后总结出一篇较完整、较准确的文章。考虑到我完成作业时受到的各种辛苦,特将这份资料分享给大家。仅供参考,有问题可以给我提!由于含有本人大量的心血,所以需要的劵也偏高,希望各位理解!完成作业对你们的帮助更是巨大的,希望对你们有所帮助,解决令人头疼的作业! 另外,目前除法计算器的电路设计过于复杂且难度较高,尚无法完成,资料也查阅不到,有完成者可以与本人交流! 哈尔滨工业大学

一、设计目的和要求 本次大作业是在学完本门课程后,对所学知识的综合性考察和对思维的锻炼。通过本次作业,灵活运用学过的数字电子元器件和数字电子技术等方面的知识,完成从设计、选片、连线、调试、排除故障到实现一个数字系统的全过程。 本次作业我选择设计四位二进制的计算器,使用Multisim 软件进行设计和仿真,最终实现四位二进制数的加、减、乘的目的,并能够通过数码显示管将输入的数字和输出的运算结果显示出来。 二、设计方案 1.设计综述 此计算器分加减区和乘法区,通过开关选择运算方式,选择加减法区,则乘法区的数码管为清零状态,通过控制开关置数,在加减法区数码管显示输入和运算结果:选择乘法区,则加减法区的数码管为清零状态,通过控制开关置数,在乘法区数码管显示输入和运算结果。在加减法区中,通过开关选择加、减运算方式,分别在数码管中显示输入和运算结果。 2. 系统方框图 置数 置数 三、各部分计算器电路的设计和仿真 1.加法计算器器电路 如图1所示,这是加法器最简单的电路,也是本次设计的核心电路部分。 图1 加减 乘法 加减法运算 加法 减法 乘法区 显示输加减法区显示

哈工大计算机组成大作业完整版

哈工大计算机组成大作业 哈工大计算机组成原理自主实验 计算机组成原理自主实验报告 第四章‐实验1 一个2114 存储芯片的实现 要求:外特性与2114 芯片一致(P77,图4.12),可以设计成为64*64 个存储单元的堆。 A0-A9:地址线 I/O:数据输入输出线 CS:片选信号 R/W:读写信号 VHDL代码: library IEEE;

use IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity shiyan41 is PORT(clk, we, cs,reset: in STD_LOGIC; data: inout STD_LOGIC_VECTOR(3 downto 0); adr: in STD_LOGIC_VECTOR(9 downto 0)); end shiyan41; architecture Behavioral of shiyan41 is typemem is array (63 downto 0) of STD_LOGIC_VECTOR(63 downto 0); signal data_in: STD_LOGIC_VECTOR(3 downto 0); signaldata_out: STD_LOGIC_VECTOR(3 downto 0); signalsram : mem; signalcs_s : std_logic; signalwe_s : std_logic; signaladdr_in_row: std_logic_vector(5 downto 0);

数电大作业

数电作业 课程名称:数字电子技术基础课程时间:2015年秋 授课教师:康磊 学生姓名:XXX 学生班级: 学生学号:

联系电话: 哈尔滨工业大学英才学院 2015年12月 大作业一 一、设计目的

利用Verilog HDL设计一个电路,使其可以检测输入的一段由二进制数组成的序列,若序列中有连续的三个或者三个以上的1,则电路下一个时钟到来时输出为1,否则为0。状态转换图如图所示。 二、设计步骤 1、安装ISE14.2,并学会如何仿真。 2、根据状态图编写verilog程序。 3、仿真并生成仿真波形图。 4、保存项目并完成报告。 三、程序源代码 1、主程序 `timescale 1ns / 1ps module shudian1(clk,rst,din,out); input clk,rst,din; output out; reg[2:1] y, Y; reg out; parameter A=2'b00,B=2'b01,C=2'b10,D=2'b11; always @(posedge clk or negedge rst) begin if(!rst)y <= A;

elsey <= Y; end always@(y or din) begin case(y) A: begin out = 0; if(din) Y=B; else Y=A; end B: begin out = 0; if(din) Y=C; else Y=A; end C: begin out = 0; if(din) Y=D; else Y=A; end D: begin out = 1; if(din) Y=D; else Y=A; end default:begin out = 0; Y = A; end endcase end endmodule 2、测试程序 `timescale 1ns / 1ps module sudian11; // Inputs reg clk; reg rst; reg [20:0]data; assign din=data[20]; // Outputs wire out;

哈工大2012数字电路大作业题目

数字电路大作业题目 说明:以下题目任选一个,以小组形式合作完成,组内人数是2~3人,最佳组合为3人。 题目1:电子密码锁的设计 [设计要求] (1)设计一个开锁密码至少为4位数字(或更多)的密码锁。 (2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿 灯亮、红灯灭表示开锁状态。 (3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。 (4)密码锁上带有数字时钟,当操作者开始按动按钮能进行倒计时显示。 注:附加功能根据本人能力自行添加(如:密码锁中的4位密码可以修改,等等) 题目2:乒乓球比赛模拟机的设计 乒乓球比赛模拟机用发光二极管(LED)模拟乒乓球运动轨迹,是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判)。 [设计要求] (1)至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从右到左移动,“球” 的移动速度可以调节。 (2)当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,

表示未击中或违规,则对方得一分。 (3)设计甲乙双方自动记分电路,用数码管显示得分,每记满11分为一局。(4)甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (5)能显示发球次数。 注:附加功能根据本人能力自行添加(如:一方得分,电路自动提示3秒,此期间发球无效,等铃声停止后方可比赛等等) 题目3:液体点滴速度监控装置的设计 设计医用点滴速度自动控制装置。假设已在漏斗处设置了一个由红外发射、接收对管构成的传感器,将点滴信号非电量转换成电脉冲信号。 [设计要求] (1)检测点滴速度,并与预定速度值比较,通过控制电机的转向使吊瓶作上升、下降、停止的动作(可以使用红绿黄指示灯表示),从而调整点滴速度,直到实测数据和预置数据相等时为止。 (2)自动调整吊瓶时间小于3分钟,误差范围为预定速度值的10%。 (3)点滴速度的设定范围为20~160滴/分钟 (4)能显示当前点滴速度。 (5)液体停滴时能发出报警。 注:附加功能根据本人能力自行添加(如:带有数字时钟,能显示点滴进行的时间,等等) 题目4:象棋快棋赛电子裁判计时器的设计 说明:象棋快棋赛规则是,红、黑双方对奕时间累计均为三分钟,超时判负。[设计要求] (1)甲乙双方的计时器为一个秒时钟,双方均用3位数码管显示,预定的初值

哈工大1系自动控制原理大作业

哈工大自动控制原理大作业

一、设计任务: 在新材料的分析测试工作中,需要在较宽的参数范围内真实再现材料的实际 工作环境。从控制系统的角度出发,可以认为,材料分析设备是一个能准确 跟踪参考输入的伺服系统。该系统的框图如图所示。 7. 继续参考题6给出的系统,试设计一个合适的超前校正网络,使系统的相角裕度为50,调节时间小于4秒(按2%准则),稳态速度误差常数为2秒-1。 二、设计过程: 原传递函数 ()042 (1)(2)(1)(1)2 G s s s s s s s = = ++++ 转折频率为11ω=和22ω=,剪切频率122c ωωω==,画出Bode 图如下:

系统的相位裕度2 18090arctan 2arctan 02 γ=---= 为了满足相位裕度50γ≥ 的条件,需要对系统进行超前补偿。由于要求稳态速度误差常数为2秒-1,所以放大系数K=2,即K 保持不变。 取50γ= ,11 1.3sin sin 50r M γ= == 2 2 1.5(1) 2.5(1)s r r c t M M πω??= +-+-??且要求s t 小于四秒。求得 2.1c ω≥,Mr Mr c 12-≤ωω知50.02≤ω。所以根据设计要求50.02≤ω在Bode 图上进行设计, 取2.02=ω(为了计算方便)求得串联超前校正环节传递函数110 12.0)(++=s s s Gc 并且作图如下:

补偿之后的系统传递函数为) 110 )(12)(1()12.0( 2)()()(++++==s s s s s s Go s Gc s G 相位裕度 18090arctan 22.5arctan 4.5arctan 2.25arctan 0.4150.21γ=-+---= 1 1.3sin 50.21 r M = = ,22 1.5(1) 2.5(1) 3.82s r r c t M M s πω??=+-+-=?? 均满足设计条件。 2、计算机辅助设计: (1)校正前伯德图

数电大作业

子技术》大作业 电子技术基础是一门实践性很强的课程。数字电路大作业是在学完本门课程后,对所学知识的综合性考察。大作业分成两种形式:理论设计和实物制作,大家可以自由选择,要求附后。希望同学们借助教材、参考书以及互联网等,充分发挥想象力和创造力,认真完成本次大作业。 【理论设计要求】 1.每3人一组,完成其中一个题目。每人写出一份设计报告,而每组只提交一份报告,网上提交,完成时间截至第18周,逾期系统自动关闭。 2.鼓励有条件的同学应用Multisim或Orcad/Pspice等软件对所设计电路进行仿真。 3.不能使用单片机实现。 4.将对设计报告进行评定打分并作为平时成绩计入期末总成绩。 【实物制作要求】 1.制作出一个实用的电子电路,具体不限,能成功演示其功能。 2.一人或者两人一题。 3.写出简单的设计说明,并于网上提交。 4.将对实物制作情况评定打分并作为平时成绩计入期末总成绩。 【报告内容】 1. 目录 2. 设计目的及要求

工作原理、系统方框图 4. 各部分选定方案及电路组成、相关器件说明 5. 调试过程(如果没做实物,可免) 6. 设计结论 7 设计心得与总结(要具体落实到小组各成员) 8. 参考文献 9. 附录 附录一:元器件清单 附录二:总体设计图 附录三:仿真结果(可无) 附录四:小组各成员所做工作说明(设计者排序),每个成员对最终方案的贡献(哪个地方是谁设计的) 【理论设计题目】 NO.1 设计一个输血—受血判别电路,当输血者和受血者的血型符合相关规则,配型成功,受血者可以接受输血者提供的血液,用LED指示配型成功与否。 NO.2 循环彩灯电路

哈工大DSP大作业

DSP-F2812的最小系统设计 姓名 学号 班级 时间

一、设计目的: TMS320F2812DSP是TI公司一款用于控制的高性能、多功能、高性价比的32位定点DSP。它整合了DSP和微控制器的最佳特性,集成了事件管理器,A/D转换模块、SCI通信接口、SPI外设接口、eCAN 总线通信模块、看门狗电路、通用数字I/O口、多通道缓冲串口、外部中断接口等多个功能模块,为功能复杂的控制系统设计提供了方便,同时由于其性价比高,越来越多地被应用于数字马达控制、工业自动化、电力转换系统、医疗器械及通信设备中。 通过本课程的学习,我对DSP的各个模块有了较为深入的了解,希望可以通过对最小系统的设计,进一步加深对DSP的学习,能在实践中运用DSP,提高自己的动手实践能力。 二、设计思路 所谓最小系统就是由主控芯片加上一些电容、电阻等外围器件构成,其能够独立运行,实现最基本的功能。为了验证DSP的最基本的功能,我设计了如下单元:有源电路的设计、复位电路及JATG下载口电路的设计、外扩RAM的设计、串口电路的设计、外扩A/D模块电路的设计。 三、详细设计步骤和原理 1、电源电路的设计 TMS320F2812工作时所要求的电压分为两部分:3.3V的Flash电压和1.8V的内核电压。TMS320F2812对电源很敏感,所以在此推荐

选择电压精度较高的电源芯片TPS767D318。TPS767D318芯片输入电压为+5V,芯片起振,正常工作之后,能够产生3.3V和1.8V两种电压电压供DSP使用。如下图所示: 2、复位电路及JATG下载口电路的设计 考虑到TPS767D301芯片自身能够产生复位信号,此复位信号可以直接供DSP芯片使用,所以不用为DSP设置专门的复位芯片。 在实际设计过程中,考虑到JATG下载口的抗干扰性,在与DSP 相连接的接口均需要采用上拉设计。

哈工大数字电路实验报告实验一

数字逻辑电路与系统上机实验报告 实验一组合逻辑电路的设计与仿真 学校:哈尔滨工业大学 院系:电信学院通信工程系 班级:1205102 学号:11205102 姓名: 哈尔滨工业大学

实验一组合逻辑电路的设计与仿真 2.1 实验要求 本实验练习在Maxplus II环境下组合逻辑电路的设计与仿真,共包括5个子实验,要求如下:

2.2三人表决电路实验 2.2.1 实验目的 1. 熟悉MAXPLUS II原理图设计、波形仿真流程 2. 练习用门电路实现给定的组合逻辑函数 2.2.2 实验预习要求 1. 预习教材《第四章组合逻辑电路》 2. 了解本次实验的目的、电路设计要求 2.2.3 实验原理 设计三人表决电路,其原理为:三个人对某个提案进行表决,当多数人同意时,则提案通过,否则提案不通过。 输入:A、B、C,为’1’时表示同意,为’0’时表示不同意; 输出:F,为’0’时表示提案通过,为’1’时表示提案不通过; 波形仿真。 2.2.4 实验步骤 1. 打开MAXPLUS II, 新建一个原理图文件,命名为EXP2_ 2.gdf。 2. 按照实验要求设计电路,将电路原理图填入下表。

制输入信号A、B、C的波形(真值表中的每种输入情况均需出现)。 4. 运行仿真器得到输出信号F的波形,将完整的仿真波形图(包括全部输入输

2.3 译码器实验 2.3.1实验目的 熟悉用译码器设计组合逻辑电路,并练习将多个低位数译码器扩展为一个高位数译码器。 2.3.2实验预习要求 1. 预习教材《4-2-2 译码器》一节 2. 了解本次实验的目的、电路设计要求 2.3.3实验原理 译码器是数字电路中的一种多输入多输出的组合逻辑电路,负责将二进制码或BCD码变换成按十进制数排序的输出信息,以驱动对应装置产生合理的逻辑动作。商品的译码器品种较多,有2-4线、3-8线、4-10线及4-16线等。本实验练习对双2-4线译码器74LS139的扩展,并用其实现特定的组合逻辑。74LS139包含两个2-4线译码器,其输入输出如下: 74LS139中译码器1真值表如下: 74LS139中译码器2真值表如下:

哈工大初试803信号与系统+数字逻辑电路

2012年硕士研究生入学考试大纲 考试科目名称:信号与系统+数字逻辑电路考试科目代码:[803] 一、考试要求: 要求考生全面、系统地掌握《信号与系统》和《数字电路》课程的基本概念、原理、方法与应用,具有较强的分析、设计和解决问题的能力。 二、考试内容: (一)《信号与系统》部分 1)信号分析的理论基础 a:信号的基本概念和典型信号 b:信号的时域分解与变换,卷积 2)傅里叶变换 a:傅里叶级数,傅里叶变换,傅里叶变换的性质 b:周期信号的傅里叶变换,抽样信号的频谱 3)拉普拉斯变换 a:拉普拉斯变换与反变换 b:拉普拉斯变换的性质 4)Z变换 a:Z变换及其收敛域,Z变换的性质,Z反变换, b:Z变换与拉普拉斯变换的关系 5)连续系统的时域分析 a:连续系统的经典解法 b:零输入响应,冲激响应与阶跃响应,零状态响应 6)连续系统的频域分析 a:傅里叶变换分析法 b:无失真传输条件 c:理想低通滤波器 7)连续系统的复频域分析 a:拉普拉斯变换分析法 b:系统函数,极零点分布与时域响应特性,极零点分布与系统频率特性 c:线性系统的模拟 8)离散系统的时域分析

a:离散系统的描述和模拟 b:差分方程的经典解法,零输入响应和零状态响应9)离散系统的Z域分析 a:离散系统的Z变换分析法 b:离散系统的系统函数及频率响应 10)系统的状态变量分析法 a:状态方程的建立 b:连续系统和离散系统的状态方程解法 (二) 《数字逻辑电路》部分 1)数制与编码 a:数制和编码的基本概念,不同数制之间的转换 b:二进制数的运算 2)逻辑代数基础 a:逻辑代数基本概念,逻辑函数的表示方法 b:逻辑函数的化简及实现 3)门电路 a:TTL门电路工作原理与输入输出特性 b:OC门、三态门(TS)原理与应用,MOS门电路4)组合电路 a:组合逻辑电路的分析与设计方法 b:典型中、小规模集成组合电路原理与应用 5)触发器 a:触发器基本原理与应用 b:不同触发器类型之间的转换 6)时序逻辑电路 a:时序逻辑电路的概念 b:同步时序电路的分析与设计 c:集成计数器和移位寄存器的设计与应用 d:异步时序电路的基本概念 7)算术运算电路 a:数值比较器、加法电路、乘法电路基本原理与应用8)存储器与可编程逻辑器件 a:RAM、ROM的基本原理和扩展 b:可编程逻辑器件的基本原理和应用 9)模数和数模转换

哈工大数电大作业-作业1-计数器

哈工大数电大作业-作业1-计数器 数电大作业 1 计数器 一、实验目的 1.学习使用Verilog HDL语言,并学会使用进行Quartus H软件编 程和仿真; 2.掌握数字电路的设计方法,熟悉设计过程及其步骤; 3.培养学生的动手能力,能学以致用,为今后从事电子线路设计 打下良好基础; 4.巩固加深对数电知识的理解,在仿真调试过程中,能结合原理 来分析实验现象; 二、实验内容 1.设计内容及要求 1)利用 Verilog HDL 设计一个以自己学号后三位为模的计数器; 2)编写源程序;

3)给出仿真电路图和仿真波形图; 2.需求分析: 由于本人的学号为 7112130501,后 3 位为 501,为便于观察,选取中间三位为进制来编写加法计数器,以保证与他人的区别性,即编一个以 213 为模的加法计数器。若采用同步清零的方法,则计数为 0~212,化为二进制数即为 0 0000 0000计到 0 1101 0100。

3. 编写源代码: module count_213(out, data, load, reset, elk); output [8:0] out; i 叩ut [8:0] data; input load ,reset, elk; reg [8:0] out; always ?(posedge elk) begin 辻(!reset)out=9, hOOO; else if (load)out=data; else if (out>=212)out=9, hOOO; else out=out+1; end endmodule 程序说明: 该计数器为一个9位计数器,计数范围0~212,具有同步同 步置数和同步清零功能。时钟的上升沿有效,当elk 信号的上升 沿到来时,如果清零信号为0,则清零;若不为0,计数器进行计 数,计至212处同步清零。 4. 画出仿真电路图: 图1为同步置数、同步清零加法计数器的仿真电路图 //elk 上升沿触发 〃同步清零,低电平有效 //同步预置 〃计数最大值为212,超过清零 〃计数

哈工大数字逻辑大作业

汽车尾灯控制器 信安一班 1150320101-孙晨1150810613-李秋豪1152210121-路祥鹏 (按笔画顺序排列) 注:任何人可以自由的复制、修改、分发本文。但是如果您的版本中含有附录的参考图片:1.在用于非商业、非盈利、非广告性目的时需注明作者及出处“百度百科”。2.在用于商业、盈利、广告性目的时需征得作者同意,并注明作者姓名、授权范围及出处“百度百科”。GMT+8 2016-12-07 20:20

一、目录 设计要求------------------------------------------------------------- 3 工作原理,系统方框图----------------------------------------------- 3 各部分选定方案及说明----------------------------------------------- 5 总体设计图与仿真结果----------------------------------------------- 9 设计心得与总结------------------------------------------------------ 17 参考文献------------------------------------------------------------- 17 附录:总体器件表及其功能表、管脚分布----------------------------- 18

二、设计要求 设计一个汽车尾灯控制器。汽车尾部左右两侧各有3个指示灯。根据汽车运行情况,指示灯有4+2种显示模式: (1)汽车正向行驶,所有指示灯全部熄灭。 (2)汽车右转弯,右侧的三个指示灯按右循环模式顺序点亮。 (3)汽车左转弯,左侧的三个指示灯按左循环模式顺序点亮。 (4)临时刹车,左右两侧的指示灯同时处于闪烁状态。 (5)倒车状态,右侧的三个指示灯按右循环模式顺序点亮,同时左侧的三个指示灯按左循环模式顺序点亮。 (6)故障状态,所有灯全亮且不闪烁。 三、工作原理,系统方框图 大致原理:(详细介绍见第四部分) 该器件有六种状态,所以应该使用三个开关状态L1、L2、L3来表达。 对于正常行驶状态,灯全灭,即对所有的灯给低电平。 对于汽车右转弯,右侧的三个指示灯按右循环模式顺序点亮,可以采取三进制计数器(十进制改造)+三八译码器来实现,其中三进制计数器的输出作为译码器的输入,译码器的输出决定三个右侧灯的亮暗。 对于汽车左转弯,原理同汽车右转弯的实现方法。 对于临时刹车,可以使用CP信号直接决定六个灯的亮暗,达到闪烁的目的。 对于故障状态,对所有的灯置高电平。

哈工大数电自主实验 数字流水灯

Harbin Institute of Technology 数字电路自主设计实验 院系:航天学院 班级: 姓名: 学号: 指导教师: 哈尔滨工业大学

一、实验目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数电课程实验为我们提供了动手实践的机会,增强动手实践的能力。 二、实验要求 设计流水灯,即一排灯按一定的顺序逐次点亮,且可调频、暂停、步进。 三、实验步骤 1.设计电路实现题目要求,电路在功能相当的情况下设计越简单越好; 2. 画出电路原理图(或仿真电路图); 3.元器件及参数选择; 4.电路仿真与调试; 5.到实验时进行电路的连接与功能验证,注意布线,要直角连接,选最短路径,不要相互交叉,注意用电安全,所加电压不能太高,以免烧坏芯片; 6.找指导教师进行实验的检查与验收; 7.编写设计报告:写出设计与制作的全过程,附上有关资料和图纸,心得体会。 四、实验原理 设计流水灯的方法有很多种,我的设计思路是: 利用555定时器产生秒脉冲信号,74LS161组成8进制计数器,74LS138进行译码,点亮电平指示灯。并通过调节555的电阻,实现频率可调。通过两与非门,实现暂停、步进功能。

1.秒信号发生器 (1)555定时器结构(2)555定时器引脚图 (3)555定时器功能表 (4)555定时器仿真图

2. 74LS161实现8进制加计数 74LS161是常用的四位二进制可预置的同步加法计数器,它可以灵活地运用在各种数字电路,以及单片机系统中实现分频器等很多重要的功能。 (1)74LS161同步加法器引脚图 管脚图介绍: 始终CP和四个数据输入端 P0-P3 清零CLR 使能EP,ET 置数PE 数据输出端Q0-Q3 进位输出TC (2)74LS161功能表 (5)74LS161仿真图 对74LS161进行八进制计数改组,需要一个与非门,即芯片74LS00,也就是将74LS161的输出端通过与非门,当输出为8时将输出为高电平的端口与非后接到74LS161的清零段。即计数到8是异步清零,所以74LS161变为八进制计数。

(完整版)哈尔滨工业大学数据库试题(含答案)

试卷一(哈尔滨工业大学) 一、选择题(每题1分,共20分) 1.在数据管理技术的发展过程中,数据独立性最高的是()阶段。 A. 数据库系统 B. 文件系统 C. 人工管理 D. 数据项管理 2. ()是存储在计算机内的有结构的数据集合。 A. 网络系统 B. 数据库系统 C. 操作系统 D. 数据库 3. 在数据库的三级模式结构中,描述数据库中全体数据的全局逻辑结构和特征的是()。 A. 外模式 B. 内模式 C. 存储模式 D. 模式 4. 作为关系数据系统,最小应具备的关系运算是()。 A. 排序、索引、统计 B. 选择、投影、连接 C. 关联、更新、排序 D. 显示、打印、制表 5. 在select语句中使用group by Sno时,Sno 必须出现在()子句中。 A. where B. from C. select D. having 6. 在where语句的条件表达式中,与零个或多个字符匹配的通配符是()。 A. * B. ? C. % D. _ 7. 对关系模式进行分解时,要求保持函数依赖,最高可以达到()。 A. 2NF B. 3NF C. BCNF D. 4NF 8. 在关系模式R(U,F)中,Y∈XF+是X→Y是否成立的()。 A. 充分必要条件 B. 必要条件 C. 充分条件 D. 既不充分也不必要条件 9. 在关系数据库设计阶段中,完成关系模式设计的阶段是()。 A. 需求分析阶段 B. 概念设计阶段 C. 逻辑设计阶段 D. 物理设计阶段 10. 基本E-R图就是数据库的()。 A. 外模式 B. 逻辑模式 C. 内模式 D. 概念模式 11. 从数据流图构造E-R图时,选择实体一般应先考虑数据流图中的()。 A. 数据项 B. 数据流 C. 数据处理 D. 数据存储 12. 以下()不是当前常用的存取方法。 A. 索引方法 B. 聚簇方法 C. HASH方法 D. 链表方法 13. 事务一旦提交,对数据库的改变是永久的,这是事务的()。 A. 原子性 B. 一致性 C. 隔离性 D. 持久性 14. 并发控制要解决的根本问题是保持数据库状态的()。 A. 安全性 B. 完整性 C. 可靠性 D. 一致性 15. 在数据库系统中,对存取权限的定义称为()。 A. 授权 B. 定义 C. 约束 D. 审计 16. 视图建立后,在数据字典中存放的是()。 A. 查询语句 B. 视图的定义 C. 组成视图的表内容 D. 产生视图的表定义 17. 由全码组成的关系模式,最高可以达到的模式为()。 A. 4NF B. 2NF C. 3NF D. BCNF 18. 下列叙述中,正确的是()。 A. 对于关系数据模型,规范化程度越高越好 B. 如果F是最小函数依赖集,则R∈2NF C. 如果R∈BCNF,则F是最小函数依赖集

数电大作业智能数字钟

数电大作业智能数字钟 IMB standardization office【IMB 5AB- IMBK 08- IMB 2C】

智能数字钟设计? 一、问题重述? 数字电子钟是一种用数字显示秒﹑分﹑时的记时装置,与传统的机械钟相比,他具有走时准确﹑显示直观﹑无机械传动装置等优点,因而得到了广泛的应用:小到人们的日常生活中的电子手表,大到车站﹑码头﹑机场等公共场所的大型数显电子钟。 本课程设计要用通过简单的逻辑芯片实现数字电子钟。要点在于用555芯片连接输出为一秒的多谐振荡器用于时钟的秒脉冲,用74LS160(10进制计数器)74LS00(与非门芯片)等连接成60和24进制的计数器,再通过七段数码管显示,构成了简单数字电子钟。要求: (1)完成设计一个有“时”,“分”,“秒”(23小时59分59秒)显示且有校时功能的电子钟; (2)完成对“时”、“分”的自动校时。 二、设计目的? 1.了解智能数字钟的工作原理; 2.设计出一个能实现清零、进位、显示时分秒等功能的智能数字钟; 3.正确使用multisim 软件对电路进行仿真及观察; 4.通过此次设计实验加深对3—8译码器、计数器等集成逻辑芯片的理解和运用。 三、设计要求? 1.用555定时器设计一个秒钟脉冲发生器,输入1HZ的时钟;(对已有1kHz频率时钟脉冲进行分频); 2.能显示时、分、秒,24小时制;3.设计晶体震荡电路来输入时钟脉冲; 4.用同步十进制集成计数器74LS160设计一个分秒钟计数器,即六十进制计数器;

5.用同步十进制集成计数器74LS160设计一个24小时计数器;6.译码显示电路显示时间; 7.用与非门芯片及一些基本芯片设计一个可以自动校时的电路。 四、设计过程? 总体思路 由秒及分的60进制,分别到59时进行对分和时进行进位,而时为24进制,当到达23时,之后进行清零,从而实现数字时钟的相应功能。分秒功能的实现:用两片74LS160组成60秒、分、时分别为60、60和24进制计数器。秒、分均为六十进制,即显示进制递增计数器。时为二十四进制计数器,显示为00~23,个位仍为十进制,而十位为三进制,但当十进位计到2,而个位计到4时清零,就为二十四进制了。时功能的实现:用两片74LS160组成24进制递增计数器。结构框图及说明 在产生信号时可采用两种方法,方法(1)采用555定时器及分频器,而方法(2)直接利用函数信号发生器。 电路工作原理? 振荡器是数字钟的核心。振荡器的稳定度及频率的准确度决定了数字钟计时的准确程度,通常选用石英晶体构成的振荡器电路。一般来说,振荡器的频率越高,计时精度越高。如图5所示调节电阻R2可以改变输出信号频率,用以得到所需的信号频率。利用555定时器进行产生信号,形成晶振电路,如下 图二?555定时器 用三片74LS160可以构成三级十分频器,将1KHz矩形波分频得到1Hz基准秒计时信号。它的功能是产生标准秒脉冲信号。原理如下 图三?分频电路

相关文档