文档库 最新最全的文档下载
当前位置:文档库 › 电子钟

电子钟

电子钟
电子钟

数字闹钟

摘要:时间是现代社会中不可缺少的一项参数,无论是平时生活还是社会生产都需要对时间进行控制,有的场合对其精确性还有很高的要求.采用单片机进行计时,对于社会生产有着十分重要的作用。

本文首先在绪论中介绍了单片机和时钟的概念和现状,提出了系统总体设计方案,并设计了各部分硬件模块和软件流程,在用C语言设计了具体软件程序后,将各个模块完全编译通过过后,结果证明了该设计系统的可行性。

由于AT89C51系列单片机的控制器运算能力强,处理速度快,配合实时实时钟的使用,很好地解决了实际生产生活中对计时高精确度的要求,因此该设计在现代社会中具有广泛的适用性。

关键字:AT89C51单片机,C程序,实时时钟。

Abstract:Time is indispensable in modern society to a parameter, whether living or social production are usually required to control the time, and some occasions there are high demands for its accuracy. Using microcontroller for timing, for the social production of great important role. The design of the travel time using real-time clock module DS1302, travel time not only accurate, but also automatically adjust the number of dates on a monthly basis.As the design of the alarm clock large ones more, if the power-down reset after considerable trouble, so use of memory 24C08, the alarm will be set to write a good memory.Time display with 7-segment, through the dynamic scan method to display the current date or time.

This paper describes the introduction of the concept of SCM and the clock and the present situation of the overall system design, and design of each part of the hardware modules and software processes, in the C language was designed with the specific software program, will be compiled by each module is fully After the results show the feasibility of the design system.

As the controller AT89C51 MCU computing capacity, processing speed, with the use of real-time real-time clock, a good solution to the actual production life of the time requirements of high accuracy, so the design in a modern society with a wide range of application sex.

Keywords:AT89C51 microcontroller;C program; Real-Time Clock。

目录

1.前言 (1)

1.1 单片机的发展史 (1)

1.2课题的背景与目的 (1)

2 总体方案设计 (4)

2.1设计内容 (4)

2.2 设计方案 (4)

2.3 方案论证 (5)

2.4 方案选择 (6)

3.1 串口下载电路模块 (7)

3.2实时时钟模块 (8)

3.3存储器模块 (9)

3.4系统供电模块 (10)

3.5单片机接口电路 (11)

3.6系统显示模块 (12)

4 软件设计 (13)

4.1软件选择 (13)

4.2软件设计流程 (14)

4.2.1 EEPROM程序流程 (14)

4.2.2 实时时钟流程 (15)

5系统调试 (16)

5.1硬件调试 (16)

5.2 软件调试 (16)

6 结论 (20)

7总结与体会 (21)

8 参考文献 (23)

附录: (24)

1.前言

1.1 单片机的发展史

1971年intel公司研制出世界上第一个4位的微处理器;Intel公司的霍夫研制成功世界上第一块4位微处理器芯片Intel 4004,标志着第一代微处理器问世,微处理器和微机时代从此开始。因发明微处理器,霍夫被英国《经济学家》杂志列为“二战以来最有影响力的7位科学家”之一。单片微型计算机简称单片机,它最早是被用在工业控制领域。单片机由芯片内仅有CPU的专用处理器发展而来。单片机微型计算机就是将中央处理单元、存储器、定时/计数器和多种接口都集成到一块集成电路芯片上的微型计算机。使计算机系统更小,更容易集成进复杂的而对体积要求严格的控制设备当中。INTEL的Z80是最早按照这种思想设计出的处理器,从此以后,单片机和专用处理器的发展便分道扬镳。早期的单片机都是8位或4位的。其中最成功的是INTEL的8031,因为简单可靠而性能不错获得了很大的好评。此后在8031上发展出了MCS51系列单片机系统。

为满足不同的要求,出现了高速、大寻址范围、强运算能力和多机通信能力的8位、16位、32位通用型单片机,小型廉价型、外围系统集成的专用型单片机,以及形形色色各具特色的现代单片机。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。同时,学习使用单片机是了解计算机原理与结构的最佳选择。单片机内部也用和电脑功能类似的模块,比如CPU,内存,并行总线,还有和硬盘作用相同的存储器件,不同的是它的这些部件性能都相对我们的家用电脑弱很多,不过价钱也是低的,一般不超过10元即可......用它来做一些控制电器一类不是很复杂的工作足矣了。

1.2课题的背景与目的

在二十一世纪掌握芯片技术是十分有必要的。本次课题是计算机组成原理的课程设计,这次课题旨在通过自己对所需功能芯片的设计与实现来巩固以前所学的计算机硬件基础知识,同时也提高动手实践的能力,还有为将来进行更大规模更复杂的开发积累经验。本设计主要采用AT89S51单片机作为主控核

心,由DS1302时钟芯片提供时钟、LED动态扫描显示屏显示。数字钟是一种用数字电路技术实现时、分、秒计时的装置,另外应有校时功能和一些显示日期、闹钟等附加功能。与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。

AT89S51单片机是由Atmel公司推出的,电压可选用4-6V电压供电;DS1302时钟芯片是美国DALLAS公司推出的具有涓细电流充电流充电功能的低功耗实时时钟芯片,它可以对年、月、日、星期、时、分、秒进行计时,而且DS1302的使用寿命长,误差小;显示器采用LED数码管来显示,数码管成本低廉,系统不但接口设计简单、便于控制,而且具有很好的人机界面,可以对当前的时间进行调整。

2 总体方案设计

2.1设计内容

(1)时钟功能:计时、时间、日期可设置。

(2)闹钟功能:6路闹钟,开关时间可调,每路每天可设置3次不同开关时间。

(3)6路开关TTL 电平输出。 (4)显示设置:数码管。 (5)设置方式:按钮或键盘。

2.2 设计方案

方案一:采用单片机芯片以及相关的芯片来实现多功能的数字钟。该设计选用实时时钟芯片DS1302提供当前日期和时间数据,并将实时的日期和时间数据通过软件译码过在八位七段数码管上动态显示出来。该方案中还用到一个存储器,由于设计要求的闹钟路数较多,且每一路均要设置相应的开关时间,如果系统一掉电就又得重新设置,这样就比较麻烦了。本次设计的多功能数字钟采用键盘方式对日期和时间进行控制设置,我们采用七段数码管显示当前的日期或时间,日期和时间用键盘进行切换,且时间以24小时的计时方式,在本次设计中,电路不仅具有显示日期、时间,还可以实现对它们的调整。本次设计要达到的目的是:具有时间显示和手动校对功能,24小时制;具有年、月、日显示和手动校对功能;具有显示当前星期的功能;具有闹铃功能;掉电后无需重新设置时间和日期。其框图如下所示。

图1 方案一总体框图

复位电

闹钟模串行通信接口

数码管 显示

键盘控制电路 时钟电路

供电电STC89C52单

片机

EEPRO M

方案二:利用QuartusII设计一个数字闹钟,系统总体构成包括最小系统部分、晶振模块、电源模块、JTAG下载模块、时间显示模块、闹钟报警模块。能进行正常的时、分、秒计时功能;锁死功能--锁死状态下时钟保持不变;清零功能—-时钟计时电路;通过编程也可以实现多路闹钟的开关时间设置。闹钟功能—-定时精确到分,闹钟设计响设定的时间,可关闭;相应的电路图如下图所示:

图2 方案二总体框图

2.3 方案论证

方案一:该系统是利用单片机最小系统再加上数码管、时钟芯片、EEPROM存储器等电路组成。基于单片机作为主控芯片,使用支持I2C的存储器芯片对各路闹钟设置数据进行保存,以达到掉电后不用重新设置的目的。实时时钟芯片DS1302提供当前日期和时间数据,并将实时的日期和温度数经过软件译码后送到七段数码管上进行动态显示。在设计中用到的芯片少而且很容易找到,控制简单,功耗小,在一些小型电路的设计中非常实用,单片机降低成本,提升性能,原有程序直接使用,硬件无需改动。并且其抗干扰性强,加密性强,超低功耗,可以远程升级,内部有专用复位电路,价格也较便宜,一般的利用单片机芯片加上一些外围的供电电路,复位电路,下载电路,特定功能电路等就能实现特定的功能。并且很容易控制操作,况且输入输出能很好的扩展,以便于修改更正。

方案二:使用QuartusII软件以及相应的实验平台完成的多功能数字计时器,由于时钟的计时范围是00:00:00---23:59:59,所以我们需要设计模六十和模二十四的计数器组成时钟计时电路。校分、校时、清零电路需要输入一些控制信号给时钟计时电路,当然这些控制信号是由开关提供的。要实现多路报时功能,报时控制电路是必不可少的。整个过程不仅涉及到的分频计数器较多,还要用到大量的开关控制逻辑显得相当复杂这样就加大了编程的难度且容

易出错。

2.4 方案选择

由以上的方案论证,方案一是采用单片机的电路来设计。方二是用复杂可编程逻辑器件CPLD,CPLD芯片比单片机更贵,成本更高。而且要求根据相应的要求进行功能扩展,使用单片机在此方面更加有优势,而且要维护方便,调试简单,稳定性好,功耗低。并且现在的单片机功能也是相当强大的,配合外围电路的使用,使系统的软件编程相对于方案一来说就更加的容易了,况且单片机的价格也十分便宜,所以以单片机作为系统的主要控制芯片来现实本设计的要求,更为合理,因此,选择方案一来实现本设计的所有要求。

3 单元模块设计

3.1 串口下载电路模块

2

3

T itle

Number

Si ze

A4

Date:30-Dec-2010

Fi le:C :\Docu ment s an d Set ti

R 1in 13R 2in

8

T 1in

11

T 2in

10V +2

V -

6

V C C

16

R 1ou t 12

R 2ou t

9

T 1ou t

14T 2ou t 7C 1+1C 1 -

3C 2+4C 2 -5G N D

15

R S232

R S232T TL

T TL

IC 2

M AX232

C 2

10uF

C 1

104C 5104VCC

C 4104

C 3104

1

62738495J1DB9

D2

L ED2R 21K

VCC T XD

R XD

图3 串口下载模块

此电路是为单片机下载程序用的,MAX232是电压转换芯片,将TTL 电平转换成可以和电脑串口匹配的电压。此电路的主要作用是把通过DB9下载线缆与电脑连接,可以将程序下载到单片机上。方便程序的调试。

3.2实时时钟模块

1

2

B

A

GND 4

I/O 6X12VCC 21VCC 18SC LK 7X23R ST

5

U12

DS1302

DsSC LK DsData DsRS T

VCC

X2C Y1

C 10816pF C 10916pF

图4实时时钟模块

DALLAS 公司生产的DS1302实时时钟芯片,该器件具有高性能、低功耗的特点,内置一个实时时钟/日历和31个字节静态RAM 。它可以对年、月、日、周日、时、

分、秒进行计时,具有闰年补偿功能,工作电压为 2.5V ~5.5V 。增加了以下的特性:双电源管脚用于主电源和备份电源供应,Vcc1为课编程涓流充电电源,附加七个字节存储器,其内部结构如下图所示。

图5 DS1302的内部结构

各引脚的功能为:

Vcc1:主电源;Vcc2:备份电源。当Vcc2 > Vcc1+0.2V 时,由Vcc2向DS1302供电,当Vcc2 < Vcc1时, 由Vcc1向DS1302供电。

SCLK :串行时钟,输入,控制数据的输入与输出; I/O:三线接口时的双向数据线;

CE :在输入信号,读写数据期间,必须为高。该引脚有两个功能:第一,CE 开始控制字访问移位寄存器的控制逻辑;其次,CE 提供结束单字节或多字节数据传输的方法.

3.3存储器模块

12

A01A12A23SDA 5SC L 6

WP 7VCC 8

VSS

4

IC 3

24C08

VCC

P04DsSC LK

图6存储器模块

24C08是一个8K 位串行CMOS EEPROM ,内部含有256个8位字节,CATALYST 公司的先进CMOS 技术实质上减少了器件的功耗。AT24C02有一个16字节页写缓冲器。该器件通过IC 总线接口进行操作,有一个专门的写保护功能。AT24C02支持IC ,总线数据传送协议IC ,总线协议规定任何将数据传送到总线的器件作为发送器。任何从总线接收数据的器件为接收器。此芯片也是一个I2C 的器件,其操作时序如下所示。

图7存储器模块读写时序图

3.4系统供电模块

23Title

Number

Si ze

A4

Date:30-Dec-2010

Fi le:C:\Docu ment s an d Set ting s\Admini strator.9E2FF

1

2

J20

220V输入B20

9V变压器

D20

IN4001

D21

IN4001

D22

IN4001

D23

IN4001

C20

2200uF/25V

C21

0.1uF

IN

1

G

N

D

2

OUT

3

IC20

LM7805

D24

IN4007

C23

0.1uF

C22

100u F/25V

VCC

图7电源模块

电源电路主要是为系统提供电源,LM317作为输出电压可变的集成三端稳压块,是一种使用方便、应用广泛的集成稳压块。317系列稳压块的型号很多:例如LM317HVH、W317L等。电子爱好者经常用317稳压块制作输出电压可变的稳压电源。稳压电源的输出电压可用下式计算,Vo=1.25(1+R2/R1)。仅仅从公式本身看,R1、R2的电阻值可以随意设定。然而作为稳压电源的输出电压计算公式,R1和R2的阻值是不能随意设定的。首先317稳压块的输出电压变化范围是Vo=1.25V—37V(高输出电压的317稳压块如LM317HVA、LM317HVK等,其输出电压变化范围是Vo=1.25V—45V),所以R2/R1的比值范围只能是0—28.6。其次是317稳压块都有一个最小稳定工作电流,有的资料称为最小输出电流,也有的资料称为最小泄放电流。最小稳定工作电流的值一般为 1.5mA。此电路由LM317稳压产生一个5V电压,外接6~9V直流电压输入,通过二极管桥式整流,电容C滤波后送入LM317稳压,为整个系统供电。

3.5单片机接口电路

12

2

1D

C

B

A

E X_LV D/P4.6/R ST 2

31X 1

19

X 2

18

R ESE T/P4.79P3.7/RD 17P3.6/WR 16P3.2/IN T012P3.3/IN T1

13P3.4/T0/CL K014P3.5/T1/CL K115P1.0/AD C0/C LC K21P1.1/AD C1

2P1.2/AD C2/E CI/RX D23P1.3/AD C3/C CP0/T XD 24P1.4/AD C4/C CP1/S S 5P1.5/AD C5/M OS I 6P1.6/AD C6/M IS O 7P1.7/AD C7/SC L K 8P0.039P0.138P0.237P0.336P0.435P0.534P0.633P0.732P2.021P2.122P2.223P2.324P2.425P2.526P2.627P2.7

28

N A/P4.4

29

A LE /P4.5

30P3.1/TX D 11P3.0/RX D 10IC 1

ST C12C 5A 60S2

P00P01P02P03P20P21P22P23P24P25P26P27

R ST P17P16P15P14P13P12P11P10

R 321K

V CC

C 1630pF

C 17

30pF

X 1

C Y1R X

D T XD

D sSC LK D sD ata D sRS T P04

图8单片机接口电路

STC89C52是STC 公司生产的低电压,高性能CMOS8位单片机,片内含

4kbytes 的可反复擦写的只读程序存储器(PEROM )和128bytes 的随机存取数据存储器(RAM ),器件采用ATMEL 公司的高密度、非易失性存储技术生产,兼容标准MCS-51指令系统,片内置通用8位中央处理器(CPU )和Flash 存储单元,可灵活应用于各种控制领域。其主要功能有:与MCS-51产品指令系统完全兼容;4k 字节可重擦写Flash 闪速存储器;1000次擦写周期;全静态操作:0Hz -24MHz ;三级加密程序存储器;128×8字节内部RAM ;32个可编程I /O 口线;2个16位定时/计数器;6个中断源;可编程串行UART 通道;低功耗空

闲和掉电模式。

本次设计的微控制器主要采用单片机来设计,芯片STC89C52是带2K 字节快闪存储器的8位单片机。P0-P3口都是并行I/O 口,都可用于数据的输入和输出,但P0口和P2口除了可进行数据的输入和输出外,通常都用来构建系统的数据总线和地址总线。在这4个口中只有P0口是一个真正双向的口,P1-P3这3个口都是准双向口。P3口的口线具有第二功能,为系统提供一些控制信号。其接口如图8所示。

3.6系统显示模块

1

2

3

4

A

B

C

D

4

3

2

1

Title

Number

R evi sion

Si ze A4Date:30-Dec-2010Sh eet of Fi le:

C :\Docu ment s an d Set ting s\Admini strator.9E2FF 0701C FB49E\桌面\程飞111现正做\报告\胡兴强的报告\电子闹钟.dd b

Drawn By:e 1d 2d p 3

c 4g

5

b 7G 3

8

G 2

9

f 10

a 11

G 1

12G 4

6

LED14LED-S M-1

e 1d 2d p 3

c 4g

5b 7G 3

8

G 2

9

f 10

a 11

G 1

12G 4

6

LED2

4LED-S M-1

W 1

W 2

W 3

W 4

W 5

W 6

W 7

W 8

R 43

100

R 44100R 45100R 46100R 47100R 48100R 49100R 50100

P20

P21P22P23P24P25P26P27

图8系统显示模块

电路中的显示模块部分采用八位七段数码管来对当前的日期或时间进行动

态显示,日期和时间用键盘进行切换。设计中由P1.0、P1.1、P1.2、P1.3、P1.4、P1.5、P1.6、P1.7根据设计要求选中数码管,驱动LED 的段码显示。由P2.0、P2.1、P2.2 、P2.3、P2.4、P2.5 、P2.6、P2.7控制LED 数码管的数字显示。

4 软件设计

4.1软件选择

KeilSoftware 公司推出的uVision2是一款可用于多种8051MCU的集成开发环境(IDE)该IDE同时也是PK51及其它开发套件的一个重要组件。uVision2提供了一个配置向导功能,加速了启动代码和配置文件的生成。此外其内置的仿真器可模拟目标MCU,包括指令集、片上外围设备及外部信号等。uVision2提供逻辑分析器,可监控基于MCUI/O引脚和外设状态变化下的程序变量。KeilC51V8.05为最新汉化版,全中文环境,支持PA51中文单片机汇编,可实现全中文编程、动态子程序库调用、自动汉字字模生成、自动图像数据生成等诸多强大功能。Keil软件是目前最流行开发MCS-51系列单片机的软件。本次课设计编程软件选用uVision2。

Protel 99SE采用数据库的管理方式。Protel 99SE软件沿袭了Protel以前版本方便易学的特点,内部界面与Protel 99大体相同,新增加了一些功能模块,功能更加强大。新增的层堆栈管理功能,可以设计32个信号层,16个地电层,16个机械层。新增的3D功能让我们在加工印制版之前可以看到板的三维效果。增强的打印功能,可以轻松修改打印设置控制打印结果。所以本次的硬件电路设计软件选择Protel 99SE。

4.2软件设计流程

图9 主程序框图

本设计采用时器中断控制键盘的扫描,将实现电子闹钟的各种功能分成了不同的模块,采用子程序的调用方法来实现电子闹钟的各种功能,主要由以下几个模块组成,本系统用到了两个I2C 芯片,一个是实时时钟DS1302,一个是EEPROM24CO8,这个两个芯片的读写,均采用子程序的方式,还有键盘扫描模块和显示模块都写成了子程序,在系统上电后调用子程序来实现,定时、显示、闹钟等各种功能。其主要过程是,系统一上电,就显示当前的时间,并将设置闹钟开关时间从存储器中读入到定义好的结构体中,然后用一定的时间进行扫描,判断是否有闹钟被触发,如果有被触发,下一次扫描的时候,就增加一个是否有闹钟到了关断时间,如果有就将其关断。而键盘模块,考虑到若系统资源用太多在扫描过程上,会影响其性能,所以键盘就采用了定时器中断的方式,引入中断的方法定时对外部输入进行扫描,如果有键被按下,经过处理确认后就采取相应的响应,如显示的切换、时间、日期的校正、闹钟的设置等等。其主程序的流程框图如上所示。 4.2.1 EEPROM 程序流程

开始

按键扫描

是否中断

初始化

N

进行相应的处理

显示当前时间

是否按键

图10 存储器程序框图

对存储器的操作主要一是将芯片中的存储的各路闹钟数据读出来,放入到结构体中,再有闹钟重新设置的时候,将更新的数据又写入到存储器当中,当系统掉电后也不用重新设置。 4.2.2 实时时钟流程

图11 实时时钟程序框图

对时钟芯片的操作主要包括2个方面:一是将芯片中的日期等数据读出来,二是在进行日期等设置时将设置的数据写入芯片,这也是按键处理时的主要内容。无论是读数据还是写数据,都要满足DS1302 对时序的要求。而对芯片各个数据部分的访问是通过地址进行的,且读和写的地址不一样。读出的数据同样要转为ASC II 码,然后储存起来,等待送去显示。

初始化

将新的数据写入

当输入新数据

读数据

初始化 读日期数据 数据处理 将设置的数据写入芯片

转为七段码 送去显示

5系统调试

5.1硬件调试

单片机系统调试之前首先应该确认电源电压是否正常。用万用表测量接地

引脚跟电源引脚之间的电压,看是否是电源电压,常用的5V。接下来就是检查复位引脚电压是否正常。分别测量按下复位按钮和放开复位按钮的电压值,看是否正确。然后再检查晶振是否起振了,一般用示波器来看晶振引脚的波形。另一个办法是测量复位状态下的IO口电平,按住复位键不放,然后测量IO口(没接外部上拉的P0口除外)的电压,看是否是高电平,如果不是高电平,则多半是因为晶振没有起振。经过上面几点的检查,一般即可排除故障了。

显示电路调试时,由于我们采用的数码管显示,所以检查单片机的P2.0-P2.7分别与数码管的段选信号相连,P0.0-P0.7与数码管的位选信号相连,并且必须在单片机的P0口外接上拉电阻。DS1302电路调试时,要注意以下几点:1.清楚DS1302与单片机连接的管脚, 2.注意电源正负极的连接;3. DS1302接32.768KHZ的晶振,该晶振体型比较小,在焊接时要小心,同时也要尽量使晶振离DS1302是X1、X2引脚近距离焊接。4.编写DS1302的时钟程序看是否能够正确显示时间。按键电路较简单,所以调试起来也比较容易。

DS1302电路调试:该电路包含DS1302芯片,主电源、备用电源、晶振等部分。在与单片机连接的过程中需要注意以下几点:1.清楚DS1302与单片机连接的管脚, 2.注意电源正负极的连接;3. DS1302接32.768KHZ的晶振,该晶振体型比较小,在焊接时要小心,同时也要尽量使晶振离DS1302是X1、X2引脚近距离焊接。4.编写DS1302的时钟程序看是否能够正确显示时间。

按键电路调试:按键电路比较简单,故调试起来也很容易。如果确保按键焊接正确,只需把DS1302的程序写进单片机,再按下按键,如果如果能实现预定的功能,则说明按键电路正确,如果不能就修改程序,一直到实现按键的功能。

5.2 软件调试

由于我们这组设计的是一个数字闹钟,且将整个程序分成了不同的模块,每个人写成一个子程序的方式,我主要写的是I2C芯片24CO8,主要是时序的操

作,以下是我写的程序代码,基本上实现了存储器的读写功能,且编译通过:

/**********************24C08使用I2C操作函数

********************/

void I2cDelay() //EEPROM操作时需要的延时函数

{ _nop_(); _nop_(); _nop_();

_nop_(); _nop_(); _nop_();

}

void DelayX1ms(unsigned char count) //延迟函数,参数为毫秒数

{unsigned char i,j;

for(i=0;i

for(j=0;j<240;j++) ;

}

void Start() //I2C启动,24C08使用I2C方式

{ SDA_PIN=1; I2cDelay();

DSSCLK=1; I2cDelay();

SDA_PIN=0; I2cDelay(); //保持时钟线为低表示i2c在启动状态中

DSSCLK=0;

}

void Stop() //I2C停止

{ I2cDelay(); SDA_PIN=0;

I2cDelay(); DSSCLK=1;

I2cDelay(); SDA_PIN=1;

I2cDelay();

}

bit SendByte(unsigned char value) //发送1字节数据给EEPROM {unsigned char i;

bit no_ack=0; //定义应答信号

for(i=0;i<8;i++) //发送8位数据

{ I2cDelay();

if(value&0x80) SDA_PIN=1;

else SDA_PIN=0; //将数据一位一位的送写入先

写高位

value=value<<1;

I2cDelay(); DSSCLK=1;

I2cDelay();

I2cDelay(); DSSCLK=0; //产生8个时钟脉冲

}

I2cDelay(); SDA_PIN=1; //确认脉冲周期,等待

EEPROM的确认

I2cDelay(); DSSCLK=1; //产生应答信号

I2cDelay();

if(SDA_PIN==1) no_ack=1; //表示无应答,传送不成功

I2cDelay(); DSSCLK=0;

return no_ack;

}

void mywrite(unsigned char address,unsigned char value)

{ Start();

SendByte(0xa0); //写命令

SendByte(address); //写地址

SendByte(value); //写数据

Stop();

DelayX1ms(10);

}

unsigned char ReadByte() //从EEPROM接收1字节

{unsigned char i,bval;

bval=0;

for(i=0;i<8;i++) //接收8位数据

{ I2cDelay();

SDA_PIN=1; //从P1输入数据时,先往P1输入"1"

I2cDelay(); DSSCLK=1;

I2cDelay(); bval=bval<<1; if(SDA_PIN) bval=bval|0x01;

I2cDelay(); DSSCLK=0;

}

I2cDelay(); SDA_PIN=1; //确认脉冲周期,不送出确认

I2cDelay(); DSSCLK=1;

I2cDelay();

I2cDelay();

return(bval);

}

unsigned char myread(unsigned char address) //从EEPROM读入1字节

数据

{unsigned char tmp;

Start(); SendByte(0xa0); SendByte(address);

Start(); SendByte(0xa1); tmp=ReadByte();

Stop(); DelayX1ms(2);

return(tmp);

}

LED电子钟制作

LED数码管电子钟 功能特色 ★声控功能(通过声音开启屏幕显示与延时关闭屏幕显示)------声控延时可调节范围:1秒~99秒 ★红外遥控功能 ------通过遥控器可以设置与控制设备 ★亮度调节功能 ------显示屏具有6档亮度调节 ★照明灯 ------LED照明灯,可以通过遥控开启与关闭 ★贪睡响闹功能 ------贪睡功能启动后,每隔5分钟闹声被重新开启,最多重新开启3次 ★星期闹钟功能 ------可以单独设置一周中每天的闹钟功能是否开启 ★显示模式功能 ------具有6种显示模式可选,如23:00~6:00之间把亮度切换到最暗或关闭显示 配置与功耗 ●微控制器:STC89C52RC ●晶振频率:12MHZ ●电源电压:DC-12V ●功耗: 显示关闭:0.15W (LED数码管显示关闭,LED照明灯关闭,响闹BiBi声关闭) 正常显示:1.5W (LED数码管显示开启,且亮度调到最亮,LED照明灯关闭, 响闹BiBi声关闭) 最大功率:3W (LED数码管的所有段都点亮,且亮度调到最亮,LED照明灯开 启,响闹BiBi声开启) LED照明灯功率:350mw

D:\LED电子钟汇编程序【8052微控制器】 (2011-11-11)\汇编程序.ASM ; LED数码管电子钟汇编程序 ; (CPU 8052,使用12MHZ晶振) ;********************************************************************************************* ;$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$ ;********************************************************************************************* ;申明8052增加的定时器2的特殊功能寄存器的地址 T2CON DATA 0C8H;定时器2控制寄存器 T H2 DATA 0CDH;定时器2高字节 T L2 DATA 0CCH;定时器2低字节 R CAP2H DATA 0CBH;定时器2捕获寄存器高字节 R CAP2L DATA 0CAH;定时器2捕获寄存器低字节 C PRL2 BIT 0C8H C T2 BIT 0C9H T R2 BIT 0CAH E XEN2 BIT 0CBH TCLK BIT 0CCH RCLK BIT 0CDH E XF2 BIT 0CEH T F2 BIT 0CFH ;********************************************************************************************* ;$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$ ;********************************************************************************************* ;申明遥控器的用户码与按键键值 I R_ADD_L EQU00000010B;设置红外遥控地址码的低8位 I R_ADD_H EQU10111101B;设置红外遥控地址码的高8位 I R_key_power EQU01000101B;遥控器开关机按键的键值 I R_key_mute EQU00001010B;遥控器静音按键的键值 I R_key_menu EQU00001011B;遥控器菜单按键的键值 I R_key_ok EQU00010101B;遥控器OK按键的键值 I R_key_up EQU00010010B;遥控器向上按键的键值 I R_key_down EQU00010011B;遥控器向下按键的键值 I R_key_left EQU00010001B ;遥控器向左按键的键值 I R_key_right EQU00010000B;遥控器向右按键的键值 I R_key_VOL_up EQU00011111B;遥控器音量加按键的键值 I R_key_VOL_down EQU00001110B;遥控器音量减按键的键值 I R_key_CH_up EQU00011000B;遥控器频道加按键的键值 I R_key_CH_down EQU00011010B;遥控器频道减按键的键值 ;********************************************************************************************* ;$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$ ;********************************************************************************************* mode DATA 03FH;工作模式寄存器 ;********************************************************************************************* ;********************************************************************************************* ;计时用寄存器 t ime_ms DATA 040H;毫秒计数寄存器,一个单位表示1ms,由定时器2中断驱动,1ms中断一次t ime_10ms DATA 041H;10毫秒计数寄存器,一个单位表示10ms t ime_250ms DATA 042H;250毫秒计数寄存器,一个单位表示250ms t ime_500ms DATA 043H;500毫秒计数寄存器,一个单位表示500ms sec DATA 044H;秒计数寄存器,一个单位表示1秒 minute DATA 045H;分计数寄存器,一个单位表示1分钟 hour DATA 046H;时计数寄存器,一个单位表示1小时 day DATA 047H;天计数寄存器,一个单位表示1天,用于存储星期几 m inute_L DATA 048H;分的个位寄存器 m inute_H DATA 049H;分的十位寄存器 h our_L DATA 04AH;时的个位寄存器 h our_H DATA 04BH;时的十位寄存器 页: 1

多功能数字时钟设计

课程设计报告 学生姓名:刘佳 学 号:2017307010102 学院:电气工程学院 班级:通信171 题目:多功能数字时钟设计 指导教师:刘晓峰职称: 高级实验师指导教师:杨修宇职称: 实验师 2018 年 12 月 28 日

目录 1. 设计要求 (3) 2. 设计原理及框图 (3) 2.1 模块组成 (3) 3. 器件说明 (4) 4. 设计过程 (8) 4.1显示电路模块设计 (8) 4.2时钟脉冲电路模块设计 (9) 4.3计时模块电路设计 (10) 4.4计时校时控制模块电路设计 (11) 4.5整点报时与定点报时模块电路设计 (12) 5. 仿真调试过程 (13) 6. 收音机原理及焊接调试 (14) 6.1收音机原理 (14) 6.2收音机焊接工艺要求 (16) 6.3收音机调试过程 (16) 7. 设计体会及收获 (17)

1. 设计要求 (1)以24小时为一个计时周期,稳定的显示时、分、秒。 (2)当电路发生走时误差时,可以对所设计的时钟进行校时。 (3)电路有整点报时功能。报时声响为四低一高,最后一响高音正好为整点。 (4)电路具有闹钟功能,当闹钟所设定时间与时钟计时相同时,发出提示音, 时长为一分钟。 2. 设计原理及框图 2.1 模块组成 多功能数字时钟由时钟脉冲电路模块、秒计时模块、分计时模块、时计时模块、显示模块、计时校时控制模块、定点报时模块与整点报时模块组。如图1所示。 图1 多功能数字时钟原理框图 多功能数字时钟由时钟脉冲电路模块、秒计时模块、分计时模块、时计时模块、显示模块、计时校时控制模块、定点报时模块与整点报时模块组成。时钟脉冲电路模块由振荡电路与分频电路组成,为数字时钟提供秒脉冲信号、定点整点报时信号以及调试信号。计时电路包括“秒”计时、“分”计时与“时”计时电

电子钟程序及原理图

. ..页脚.

基于51单片机电子钟设计 利用如图所示电路,设计一个电子钟,要求如下: 1)显示容:时-分-秒 2)具有闹铃设定功能、时间调整功能 3)具有按键设置功能 一、显示容 显示时间:用六位7段数码管 闹铃提示:用8个发光二极管 设置提示:用8个发光二极管 二、按键功能 P3.2——功能设置键; P3.3——显示区切换键; P3.4——“+”键; P3.5——“-”键。 设置提示显示要求: 1)正常显示状态,8个发光二极管全灭; 2)时间调整状态,P1.7亮; 3)闹铃设定状态,P1.7和P1.6亮。 显示时间要求: 1)显示时-分-秒,分三个显示区。 功能设置键K1是一个多功能键: 按第一次,进入时间调整状态 按第二次,进入闹铃设定状态 按第三次,退出设置状态,时钟正常显示。 备注:其他键在K1退出设置状态时无效。 显示区切换键K2: 在设置状态,用于切换不同的显示区,每按一次,将切换一次。 “+”键K3:在设置状态,用于对相应的显示区数字进行累加,每按一次,数字加1。“-”键K4:在设置状态,用于对相应的显示区数字进行自减,每按一次,数字减1。程序: K1 BIT P3.2 K2 BIT P3.3 K3 BIT P3.4 K4 BIT P3.5 L1 BIT P1.7 L2 BIT P1.6 KEZT EQU 30H HOUR EQU 31H MINU EQU 32H SECO EQU 33H NHOU EQU 34H NMIN EQU 35H K2ZT EQU 36H

TIME EQU 37H TIM EQU 40H NTIM EQU 50H LED EQU P1 ORG 0000H AJMP START ORG 000BH AJMP DINGSHI ORG 100H START: ACALL RESET LOOP: ACALL KEYSET ACALL DISPLAY ACALL ZHISHI AJMP LOOP ;************************************** DINGSHI: MOV TH0,#3CH MOV TL0,#0B0H INC TIME MOV A,TIME CJNE A,#20,DINGEND MOV TIME,#0 INC SECO MOV A,SECO CJNE A,#60,DINGEND INC MINU MOV SECO,#0 MOV A,MINU CJNE A,#60,DINGEND INC HOUR MOV MINU,#0 MOV A,HOUR CJNE A,#24,DINGEND MOV HOUR,#0 DINGEND: RETI ;**************************************** RESET: MOV TMOD,#01H ;T0工作在方式1,12MHZ MOV TH0,#3CH MOV TL0,#0B0H SETB EA SETB ET0 MOV HOUR,#23 MOV MINU,#59 MOV SECO,#58 MOV NHOU,#12

电子时钟制作步骤

电子时钟制作步骤: 1、导入一张“钟表”外观到库中 2、新建1 个“图形元件”(取名表盘),将“钟表”拖入舞台,如图: 3、依次单独建3个“影片剪辑”(取名分别是时针、分针、秒针),用矩形工具画3个长方 形针,如右上图: 4、返回主场景,将图层1命名表盘,将表盘元件拖入舞台 5、增加1个图层,命名表针,先拖入时针元件,并设置动作,编程语句为 onClipEvent(enterFrame){setProperty(this,_rotation,_root.hours);} 然后拖入分针针元件,并设置动作,编程语句为onClipEvent(enterFrame){setProperty(this,_rotation,_root.minutes);} 最后拖入秒针针元件,并设置动作,编程语句为onClipEvent(enterFrame){setProperty(this,_rotation,_root.seconds);} 6、增加1个图层,命名为动作,设置第1针动作,编程语言为: time=new Date(); hours=time.getHours(); minutes=time.getMinutes(); seconds=time.getSeconds(); if(hours>12){ hours=hours-12;} if(hours<1){ hours=12;} hours=hours*30+int(minutes/2); minutes=minutes*6+int(seconds/10); seconds=seconds*6; 7、在第2帧出选插入空白关键帧,设置动作语句编程如下:gotoAndPlay(1); 8、将表盘和表针两图层插入帧,最后测试影片效果即可完成

以AT89C51单片机为核心,制作一个LCD显示的智能电子钟

第6章智能电子钟的设计 6.1 功能要求 1. 设计要求 以AT89C51单片机为核心,制作一个LCD显示的智能电子钟: (1) 计时:秒、分、时、天、周、月、年。 (2) 闰年自动判别。 (3) 五路定时输出,可任意关断(最大可到16路)。 (4) 时间、月、日交替显示。 (5) 自定任意时刻自动开/关屏。 (6) 计时精度:误差≤1秒/月(具有微调设置)。 (7) 键盘采用动态扫描方式查询。所有的查询、设置功能均由功能键K1、K2完成。 2. 工作原理 本设计采用市场上流行的时钟芯片DS1302进行制作。DS1302是DALLAS公司推出的涓流充电时钟芯片,内含一个实时时钟/日历和31字节静态RAM,可以通过串行接口与计算机进行通信,使得管脚数量减少。实时时钟/日历电路能够计算2100年之前的秒、分、时、日、星期、月、年的,具有闰年调整的能力。 DS1302时钟芯片的主要功能特性: (1) 能计算2100年之前的年、月、日、星期、时、分、秒的信息;每月的天数和闰年的天数可自动调整;时钟可设置为24或12小时格式。 (2) 31B的8位暂存数据存储RAM。 (3) 串行I/O口方式使得引脚数量最少。 (4) DS1302与单片机之间能简单地采用同步串行的方式进行 通信,仅需3根线。 (5) 宽范围工作电压2.0-5.5V。 (6) 工作电流为2.0A时,小于300nA。 (7) 功耗很低,保持数据和时钟信息时功率小于1mW。 6.2 方案论证 6.3 系统硬件电路的设计 ……

6.4 系统程序的设计 #include #include #include #define uchar unsigned char #define uint unsigned int sbit key1=P3^0;//设置键

用LCD设计的可调式电子钟

单片机应用 课程设计说明书 用1602LCD设计的可调式电子钟专业自动化 学生姓名 班级自动化142 学号 14100 指导教师蒋 完成日期 20年1 月23 日

目录 1 概述.......................................................................................... 错误!未指定书签。 2 课题研究背景与意义...................................................................... 错误!未指定书签。 2.1 课题研究背景....................................................................... 错误!未指定书签。 2.2 课题研究意义....................................................................... 错误!未指定书签。 3 系统方案设计与主要设计工作 ..................................................... 错误!未指定书签。 3.1 设计任务............................................................................... 错误!未指定书签。 3.2 功能要求说明....................................................................... 错误!未指定书签。4设计课题总体方案........................................................................... 错误!未指定书签。 4.1硬件设计方案........................................................................ 错误!未指定书签。 4.2系统软件设计........................................................................ 错误!未指定书签。 5. 软件仿真及实物设计调试 ........................................................... 错误!未指定书签。 5.1PROTUES仿真软件介绍 ......................................................... 错误!未指定书签。 5.2仿真运行结果说明 ............................................................... 错误!未指定书签。 5.3实物设计结果与调试 ........................................................... 错误!未指定书签。6课程设计实验总结........................................................................... 错误!未指定书签。参考文献.............................................................................................. 错误!未指定书签。附录.............................................................................................. 错误!未指定书签。 附录1:程序清单........................................................................ 错误!未指定书签。 附录2:系统电路原理图 ........................................................... 错误!未指定书签。 附录3:元器件清单.................................................................... 错误!未指定书签。

多功能数字电子钟的设计

学号20103010342 毕业设计说明书 设计题目多功能数字电子钟的设计 系部机械电子系 专业机电一体化 班级机电103 班 姓名关付玲 指导教师肖玉玲 2012年 10月 13日

摘要 摘要:数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时,整点报时和校时的功能。在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。实验证明该设计电路基本上能够符合设计要求! 关键词:计数器;译码显示器;校时电路;

Abstract Abstract:Digital clock is a "time", "Sub", "second" displays the organ in human visual mechanism. Its time for a period of 24 hours, show full scale 23:59 for 59 seconds. A basic digital clock circuits consists of second signal generator, "hours, minutes, seconds," counters, decoders and display components. Because of its pure digital hardware design, compared with the traditional mechanical watch, it has left, presents an intuitive, non-mechanical transmission device and so on. This digital clock used in the design of digital circuits on the "time" and "min", "second" display and adjustment. Through the use of integrated digital chip circuit structures to achieve appropriate functionality. Specific use of 555 oscillator, 74LS90 and non-, exclusive-or gate integrated circuits and so on. The circuits with timing, the whole point of time and error correction capabilities. In the analysis of the entire module and overall circuit diagram is painted, simulation to emulation and modules record the observed results. Experimental proof of the design circuit can basically meet the design requirement! Key words:Counter ,ten decoding display , citcuit Shool

简易电子钟的设计

简易电子钟的设计 摘要 近年来随着计算机在社会领域的渗透和大规模集成电路地发展,单片机的应用正在不断地走向深入,由于它具有功能强,体积小,功耗低,价格便宜,工作可靠,使用方便等特点,一次特别适合于与控制有关的系统,越来越广泛地应用于各个领域,单片机往往是作为一个核心部件来使用,在根据具体硬件结构,以及正对具体应用对象特点的软件结合,以作完善。本次做的电子时钟是以89C51为核心,结合相关的元器件(LED数码显示器、锁存/译码/驱动器等),再配以相应的软件,达到制作简易数字钟的目的,其硬件部分难点在于元器件的选择、布局及焊接。 关键词单片机 89C51 软件硬件 LED数码显示器锁存/译码/驱动器

Abstract With the computer in the social sphere in recent years, the penetration and development of large scale integrated circuits, microcontroller applications are continually deepening, because of its powerful function, small size, low power consumption, cheap, reliable, easy to use and so on , one particularly suited to and control of the system, more and more widely used in various fields, often microcontroller as a core component to use, in accordance with the specific hardware architecture, and is the object of the characteristics of the specific application software combine to make perfect. The electronic clock is done 89C51 core, combined with the components (LED digital display, latch / decoder / driver, etc.), which together with the corresponding software, to create simple digital clock purposes, the hardware The difficulty is the choice of components, layout, and welding. Keywords microcontroller 89C51 hardware software LED digital display latch / decoder / driver

智能电子钟设计与制作

小型智能系统设计与制作 学习情境一智能电子钟设计与制作 一、教学引导 学习目标: 1. 通过查阅资料,能分析电子钟的功能与技术要求,确定电子钟的基本结构; 2. 能根据功能与技术要求,进行显示器、键盘、时钟芯片等器件的选用; 3. 能根据小组成员的实际情况,合理分配学习性工作任务,制订实施计划; 4. 会制定任务设计方案及程序设计结构; 5. 会设计显示、键盘、时钟芯片等各种接口电路; 6. 能使用软件设计、仿真电路并进行PCB制作。 7. 能够整理设计文档,编写智能电子钟的使用说明书。 学习内容 1.接受智能电子钟的设计制作任务,阅读任务书 2.收集资料,了解相关知识 3.制订设计方案 4.显示、键盘等接口电路设计和PCB板设计、制作 5.智能电子钟硬件安装与调试 6.智能电子钟软件设计与调试 7.智能电子钟功能、技术指标测试 8.编写智能电子钟的使用说明书 9.文档资料归档 学习任务 1.完成智能电子钟的方案设计 2.完成智能电子钟的设计与制作 3.完成技术文档的编写 4.完成学习过程的自我评价表填写 二、任务分析 学习要求:在这一环节要求学生分组并结合一下引导问题查阅资料,在充分了解智能电子钟的种类以及各种智能电子钟的技术要求的情况下,确定本次设计的智能电子钟的用途,完成任务分析表、填写过程记录表。 1.任务书 任务:设计并制作一款智能电子钟。 基本要求: (1)以24h计时方式工作; (2)用数码管显示时间和日期; (3)通过按键可以选择显示内容、修改时间; (4)具有校时功能; (5)具有整点报时功能; (6)时间误差:≤0.02%。 可选要求: (1)可以设置闹钟时刻; (2)闹钟时刻到后,若不关闭闹铃,可以间隔5分钟闹一次;

电子综合设计-基于单片机多功能数字时钟的设计(附完整程序)

课题:基于51单片机的多功能数字时钟系统设计 一、概述、设计思路 该设计方案是以MC51单片机为核心,采用LCD液晶屏幕显示系统,辅以闹钟模块,温度采集模块、日期提醒、键盘时间调整预设置等模块,所构建的数字时钟系统,能动态显示实时时钟的时、分、秒,数据显示(误差限制在30每天),对闹铃方式与温度调节模块进行了重点设计实现SB0、SB1、SB2、SB3四个键实现时钟正常显示,调时,及闹钟时间设置。本系统设计大部分功能有软件来实现,使电路简单明了,系统稳定性也得大大提高。 二、系统组成与工作原理 1、工作原理: 本设计采用STC89C51单片机作为本次课程设计的控制模块。单片机可把由DS18B20、DS1302、AT24C02中的数据利用软件来进行处理,从而把数据传输到显示模块,实现温度、日历和闹铃的显示。以LCD液晶显示器为显示模块,把单片机传来的的数据显示出来,并且显示多样化,在显示电路中,主要靠按键来实现各种显示要求的选择与切换。 2、总是设计框架图:

图二:系统总体电路图 三、单元电路的设计与分析 整个电子时钟系统电路可分为六大部分:中央处理单元(CPU)、复位电路部分、显示部分、键盘输入部分、温度采集部分。 1、MCS-51单片机 VCC: 89S51 电源正端输入,接+5V。 VSS: 电源地端。

XTAL1: 单芯片系统时钟的反相放大器输入端。 XTAL2: 系统时钟的反相放大器输出端,一般在设计上只要在XTAL1 和XTAL2 上接上一只石英振荡晶体系统就可以动作了,此外可以在两引脚与地之间加入一20PF 的小电容,可以使系统更稳定,避免噪声干扰而死机。 RESET: 89S51的重置引脚,高电平动作,当要对晶片重置时,只要对此引脚电平提升至高电平并保持两个机器周期以上的时间,AT89S51便能完成系统重置的各项动作,使得内部特殊功能寄存器之内容均被设成已知状态,并且至地址0000H处开始读入程序代码而执行程序。 EA/Vpp: "EA"为英文"External Access"的缩写,表示存取外部程序代码之意,低电平动作,也就是说当此引脚接低电平后,系统会取用外部的程序代码(存于外部EPROM中)来执行程序。因此在8031及8032中,EA引脚必须接低电平,因为其内部无程序存储器空间。如果是使用8751 内部程序空间时,此引脚要接成高电平。此外,在将程序代码烧录至8751内部EPROM时,可以利用此引脚来输入21V的烧录高压(Vpp)。 ALE/PROG: 端口3的管脚设置: P3.0:RXD,串行通信输入。 P3.1:TXD,串行通信输出。 P3.2:INT0,外部中断0输入。

电子钟四位数码管电路原理图

程序: #include #define uchar unsigned char #define uint unsigned int uchar num[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90}; uchar t,s=0,m=11,h=0,qian=0,bai=0,shi=0,ge=0,s0=0,m0=0,h0=0,qian0=0,bai0=0,shi0=0,ge0=0,n1=0; sbit key1=P1^0; //功能 sbit key2=P1^1; //加一 sbit key3=P1^2; //减一 sbit key4=P1^3; //查看秒数 bit mm=0; sbit beep=P2^3; uint a; void delay_1ms(uint z) { uint x,y; for(x=z;x>0;x--) for(y=110;y>0;y--); }

void delay(uint i) { uint j; for(;i>0;i--) for(j>19;j>0;j--); } /*void clock() { for(a=0;a<=50;a++) { beep=1; delay_1ms(200); beep=0; delay_1ms(200); } }*/ void timer () interrupt 1 { TH0=(65536-50000)/256; TL0=(65536-50000)%256; t++; if(t==20) { s++;t=0; if(s==60) { m++;s=0; if(m==60) { h++;m=0; if(h==24) h=0; } } } } void display(uchar h,uchar m,uchar s) { qian=h/10; bai=h%10; shi=m/10; ge=m%10; P0=num[qian];

(完整word版)基于单片机电子时钟的制作

毕业综合实训概述 实训目的: 对单片机电子时钟的制作及设计原理的掌握,利用本次实训对所学的理论课程进行实际论证,更好的掌握理论知识。能够更好的运用在实践当中。 实训时间: 2015年9月21日-2015年11月8日 实训要求: 1.独立完成实物的制作及理解设计原理; 2.分析及制作程序流程图; 3. 绘制电路图; 4.了解个元器件在电路中的作用。

目录 1 引言 (1) 1.1选题背景 (1) 1.2设计原理 (1) 1.3单片机简介 (2) 1.4单片机的发展历史 (2) 1.5单片机的应用领域及发展趋势 (2) 2 方案议论 (5) 2.1 设计要求 (5) 2.2 系统描述 (5) 2.3 设计方案 (5) 2.3.1 集成电路 (5) 2.3.2 单片机的最小系统 (6) 2.3.3结论 (7) 3 硬件设计 (8) 3.1硬件结构 (8) 3.2中心控制模块 (8) 3.3电源模块 (11) 3.4控制电路 (12) 3.5复位电路 (12) 4软件设计 (15) 4.1电子时钟的设计原理 (15) 4.2 软件设计流程 (15) 5 总结 (17) 致谢 (18) 参考文献 (18) 附录电子时钟程序 (19)

1 引言 1.1选题背景 单片微型计算机简称单片机,是典型的嵌入式微控制器(Microcontroller Unit),常用英文字母的缩写MCU表示单片机,它最早是被用在工业控制领域。单片机又称单片微控制器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。单片机由运算器,控制器,存储器,输入输出设备构成,相当于一个微型的计算机(最小系统),和计算机相比,单片机缺少了外围设备等。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。 INTEL的8080是最早按照这种思想设计出的处理器,当时的单片机都是8位或4位的。其中最成功的是INTEL的8051,此后在8051上发展出了MCS51系列单片机系统。因为简单可靠而性能不错获得了很大的好评。尽管2000年以后ARM 已经发展出了32位的主频超过300M的高端单片机,直到现在基于8051的单片机还在广泛的使用。现代人类生活中所用的几乎每件有电子器件的产品中都会集成有单片机。手机、电话、计算器、家用电器、电子玩具、掌上电脑以及鼠标等电子产品中都含有单片机。汽车上一般配备40多片单片机,复杂的工业控制系统上甚至可能有数百片单片机在同时工作! 利用单片机实现电子时钟有很多优点,例如外部电路简单,控制方便等,因而备受广大单片机爱好者的喜爱。通过电子时钟的制作方案,掌握C语言的编程方法。并熟练的运用89S52单片机定时器准确的实现时间的递进,按下按键可以设置时间,最重要的是自己还可以通过程序设计输入自己需要的定点时间。 1.2设计原理 通过单片机对时间准确的控制,实现时间的递进。 定时器:时钟周期T是时序中最小的时间单位,具体计算的方法是1/时钟源频率,我们KST-52单片机开发板上用的晶振是11.0592M,那么我们对于这个单

数字电子时钟逻辑电路设计

《数字逻辑》 课程设计报告 设计题目:数字电子钟 组员:黄土标黄维超蔡荣达孙清玉 指导老师:麦山 日期:2013/12/27 摘要数字电子钟是一种用数字显示秒、分、时的计时装置,本次数字时钟电路设计采用GAL系列芯片来分别实现时、分、秒的24进制和60进制的循环电路,并支持手动清零和校正的功能。 关键词数字电子钟;计数器;GAL;4040芯片;M74LS125AP三态门 1设计任务及其工作原理 1.1设计任务 设计一台能显示时,分,秒的数字电子钟。 技术要求: (1)秒、分为00~59六十进制计数器。

(2)时为00~23二十四进制计数器。 (3)可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置,可分别对秒、分、时进行手动脉冲输入调整或连续脉冲输入校正。并且可以手动按下脉冲进行清零。 1.2工作原理 本数字电子钟的设计是根据时、分、秒各个部分的的功能的不同,分别用 GAL16V8D设计成六十进制计数器和用GAL22V10。秒的个位,设计成十进制计数器,十位设计成六进制进制计数器(计数从00到59时清零并向前进位)。分部分的设计与秒部分的设计完全相同;时的个位,设计成二进制计数器,十位设计为四进制计数器,当时钟计数到23时59分59秒时,使计数器的小时部分清零,进而实现整体循环计时的功能。 2电路的组成 2.1 计数器部分:利用GAL16V8D和GAL22V10芯片分别组成二十四进制计数器和六十进制计数器,它们采用同步连接,利用外接标准脉冲信号进行计数。 2.2 显示部分:将三片GAL芯片对应的引脚分别接到实验箱上的七段共阴数码显示管上,根据脉冲的个数显示时间。 3.3 分频器:由于实验箱上提供的时钟脉冲的时间间隔太小,所以使用GAL16V8D和GAL16V8D、4040芯片和M74LS125AP三态门芯片设计一个分频器,使连续输出脉冲信号时间间隔为0.5s

多功能电子钟设计报告

电子技术综合训练 设计报告 题目:多功能电子钟设计

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

数字电子钟电路的设计

江西航空职业技术学院毕业设计说明书(论文) 课题名称数字电子钟电路的设计 航空电子设备维修专业101332班 学生姓名学号15号 指导老师技术职称副教授 2013年3月10日

江西航空职业技术学院 毕业设计(论文)任务书 学生姓名:刘红亮班级:101332 1.毕业设计(论文)题目:数字电子钟电路的设计 2.毕业设计(论文)使用的原始资料数据及设计技术要求 1:基本概念清楚,基本原理正确; 2:电路图设计符合国家有关规范和标准; 3:按时参加指导教师辅导,按进度要求完成课程设计任务; 4:设计说明书不少于5000字; 2.毕业设计(论文)工作内容及完成时间:

1:数字电子时钟电路的背景和意义 2:数字电子钟电路的系统设计 3:数字钟原理图所需原件的作用 日期:自2012年12月30日至2013年4月6日 指导老师评语: ___________________________________________________ ___________________________________________________ ___________________________________________________ ___________________________________________________ ___________________________________________________ _____________________ 指导老师:姚卫华系主任:周延

摘要 现代生活的人们越来越重视起了时间观念,可以说是时间和金钱划上了等号。对于那些对时间把握非常严格和准确的人或事来说,时间的不准确会带来非常大的麻烦,所以以数码管为显示器的时钟比指针式的时钟表现出了很大的优势。数码管显示的时间简单明了而且读数快、时间准确显示到秒。而机械式的依赖于晶体震荡器,可能会导致误差。数字钟是采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。数字钟的精度、稳定度远远超过老式机械钟。在这次设计中,我们采用LED数码管显示时、分、秒,以24小时计时方式,根据数码管动态显示原理来进行显示,用32768MHz的晶振产生振荡脉冲,定时器计数。在此次设计中,电路具有显示时间的其本功能,还可以实现对时间的调整。数字钟是其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱,因此得到了广泛的使用。 关键字:数字钟晶振计数

相关文档
相关文档 最新文档