文档库 最新最全的文档下载
当前位置:文档库 › 简易数字频率计课程设计报告

简易数字频率计课程设计报告

简易数字频率计课程设计报告
简易数字频率计课程设计报告

中北大学

课程设计说明书

学生姓名:关星星学号:48

学生姓名:王子德学号:45

学生姓名:崔昱学号:50

学院:信息商务工程学院

专业:电子信息工程

题目:电子综合应用实践:

数字频率计设计

指导教师:侯慧玲张权职称: 讲师

2010 年 1 月 8 日

中北大学

课程设计任务书

09/10 学年第一学期

学院:信息与通信工程学院

专业:电子信息工程

学生姓名:关星星学号:48

学生姓名:王子德学号:45

学生姓名:崔昱学号:50

课程设计题目:电子综合应用实践:

数字频率计设计

起迄日期:2010年1月11 日~2010年1月22日课程设计地点:201,503,1号楼教室

指导教师:侯慧玲张权

系主任:桂志国

下达任务书日期: 2010 年1月8 日

设计说明书应包括以下主要内容:

(1)封面:课程设计题目、班级、姓名、指导教师、时间

(2)设计任务书

(3)目录

(4)设计方案简介

(5)设计条件及主要参数表

(6)设计主要参数计算

(7)设计结果

(8)设计评述,设计者对本设计的评述及通过设计的收获体会(9)参考文献

目录

第一章设计要求 (7)

整体功能要求 (7)

系统结构要求 (7)

测试指标 (7)

4.扩展指标 (8)

第二章整体方案设计 (8)

2.1 算法设计 (8)

2.2 整体方框图及原理 (9)

第三章单元电路设计 (12)

3.1 时基电路设计 (12)

3.2闸门电路设计 (13)

3.3控制电路设计 (15)

3.4 小数点显示电路设计 (17)

3.5整体电路图 (17)

3.6整机原件清单 (19)

第四章测试与调整 (19)

4.1 时基电路的调测 (19)

4.2 显示电路的调测 (20)

4-3 计数电路的调测 (21)

4.4 控制电路的调测 (22)

4.5 整体指标测试 (26)

第五章设计小结 (26)

5.1 设计任务完成情况 (26)

5.2 问题及改进 (27)

5.3心得体会 (28)

附录 (28)

第一章设计要求

1.整体功能要求

频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。

2.系统结构要求

数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。

数字频率计整体方案结构方框图

3.测试指标

3.1被测信号波形:正弦波、三角波和矩形波。

3.2 测量频率范围:分三档:

1Hz~999Hz

0.01kHz~9.99kHz

0.1kHz~99.9kHz

3.3 测量周期范围:1ms~1s。

3.4测量脉宽范围:1ms~1s。

3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误差)。

3.6当被测信号的频率超出测量范围时,报警.

4.扩展指标

要求测量频率值时,1Hz~99.9kHz的精度均为+1。

第二章整体方案设计

2.1 算法设计

频率是周期信号每秒钟内所含的周期数值。可根据这一定义采用如图2-1所示的算法。图2-2是根据算法构建的方框图。

被测信号

图2-2 频率测量算法对应的方框图

在测试电路中设置一个闸门产生电路,用于产生脉冲宽度为1s的闸门信号。

该闸门信号控制闸门电路的导通与开断。让被测信号送入闸门电路,当1s 闸门脉冲到来时闸门导通,被测信号通过闸门并到达后面的计数电路(计数电路用以计算被测输入信号的周期数),当1s闸门结束时,闸门再次关闭,此时计数器记录的周期个数为1s内被测信号的周期个数,即为被测信号的频率。测量频率的误差与闸门信号的精度直接相关,因此,为保证在1s内被测信号的周期量误差在10 3量级,则要求闸门信号的精度为10 ?量级。例如,当被测信号为1kHz时,在1s的闸门脉冲期间计数器将计数1000次,由于闸门脉冲精度为10 ?,闸门信号的误差不大于0.1s,固由此造成的计数误差不会超过1,符合5*10 3的误差要求。进一步分析可知,当被测信号频率增高时,在闸门脉冲精度不变的情况下,计数器误差的绝对值会增大,但是相对误差仍在5*10 3范围内。

但是这一算法在被测信号频率很低时便呈现出严重的缺点,例如,当被测信号为0.5Hz时其周期是2s,这时闸门脉冲仍是1s显然是不行的,故应加宽闸门脉冲宽度。假设闸门脉冲宽度加至10s,则闸门导通期间可以计数5次,由于数值5是10s的计数结果,故在显示之间必须将计数值除以10.

2.2 整体方框图及原理

输入电路:由于输入的信号可以是正弦波,三角波。而后面的闸门或计数电路要求被测信号为矩形波,所以需要设计一个整形电路则在测量的时候,首先通过整形电路将正弦波或者三角波转化成矩形波。在整形之前由于不清楚被测信号的强弱的情况。所以在通过整形之前通过放大衰减处理。当输入信号电压幅度较大时,通过输入衰减电路将电压幅度降低。当输入信号电压幅度较小时,前级输入衰减为零时若不能驱动后面的整形电路,则调节输入放大的增益,时被测信号得以放大。

频率测量:测量频率的原理框图如图2-3.测量频率共有3个档位。被测信号经整形后变为脉冲信号(矩形波或者方波),送入闸门电路,等待时基信号的到来。时基信号由555定时器构成一个较稳定的多谐振荡器,经整形分频后,产生一个标准的时基信号,作为闸门开通的基准时间。被测信号通过闸门,作为计数器的时钟信号,计数器即开始记录时钟的个数,这样就达到了测量频率的目的。

周期测量:测量周期的原理框图2-4.测量周期的方法与测量频率的方法相反,即将被测信号经整形、二分频电路后转变为方波信号。方波信号中的脉冲宽度恰好为被测信号的1个周期。将方波的脉宽作为闸门导通的时间,在闸门导通的时间里,计数器记录标准时基信号通过闸门的重复周期个数。计数器累计的结果可以换算出被测信号的周期。用时间Tx来表示:Tx=NTs 式中:Tx为被测信号的周期;N为计数器脉冲计数值;Ts为时基信号周期。时基电路:时基信号由555定时器、RC组容件构成多谐振荡器,其两个暂态时间分别为

T1=0.7(Ra+Rb)C T2=0.7RbC

重复周期为 T=T1+T2 。由于被测信号范围为1Hz~1MHz,如果只采用一种闸

门脉冲信号,则只能是10s脉冲宽度的闸门信号,若被测信号为较高频率,计数电路的位数要很多,而且测量时间过长会给用户带来不便,所以可将频率范围设为几档: 1Hz~999Hz档采用1s闸门脉宽;0.01kHz~9.99kHz档采用0.1s闸门脉宽;0.1kHz~99.9kHz档采用0.01s闸门脉宽。多谐振荡器经二级10分频电路后,可提取因档位变化所需的闸门时间1ms、0.1ms、0.01ms。闸门时间要求非常准确,它直接影响到测量精度,在要求高精度、高稳定度的场合,通常用晶体振荡器作为标准时基信号。在实验中我们采用的就是前一种方案。在电路中引进电位器来调节振荡器产生的频率。使得能够产生1kHz 的信号。这对后面的测量精度起到决定性的作用。

计数显示电路:在闸门电路导通的情况下,开始计数被测信号中有多少个上升沿。在计数的时候数码管不显示数字。当计数完成后,此时要使数码管显示计数完成后的数字。

控制电路:控制电路里面要产生计数清零信号和锁存控制信号。控制电路工作波形的示意图如图2-5.

第三章单元电路设计

3.1 时基电路设计

图3-1 时基电路与分频电路

它由两部分组成:

如图3-1所示,第一部分为555定时器组成的振荡器(即脉冲产生电路),要求其产生1000Hz的脉冲.振荡器的频率计算公式为:f=1.43/((R1+2*R2)*C),因此,我们可以计算出各个参数通过计算确定了R1取430欧姆,R3取500欧姆,电容取1uF.这样我们得到了比较稳定的脉冲。在R1和R3之间接了一个10K的电位器便于在后面调节使得555能够产生非常接近1KHz的频率。第二部分为分频电路,主要由4518组成(4518的管脚图,功能表及波形图详见附录),因为振荡器产生的是1000Hz的脉冲,也就是其周期是0.001s,而时基信号要求为0.01s、0.1s和1s。4518为双BCD加计数器,由两个相同的同步4级计数器构成,计数器级为D型触发器,具有内部可交换CP和EN线,用于在时钟上升沿或下降沿加计数,在单个运算中,EN输入保持高电平,且在CP上升沿进位,CR线为高电平时清零。计数器在脉动模式可级联,通过将Q3连接至下一计数器的EN输入端可实现级联,同时后者的CP输入保持低电平。

如图3-2所示,555产生的1kHz的信号经过三次分频后得到3个频率分别

为100Hz、10Hz和1Hz的方波。

图3-2 1kHz的方波分频后波形图

3.2闸门电路设计

如图3-3所示,通过74151数据选择器来选择所要的10分频、100分频和1000分频。74151的CBA接拨盘开关来对选频进行控制。当CBA输入001时74151输出的方波的频率是1Hz;当CBA输入010时74151输出的方波的频率是10Hz;当CBA输入011时74151输出的方波的频率是100Hz;这里我们以输出100Hz的信号为例。分析其通过4017后出现的波形图(4017的管脚图、功能表和波形图详见附录)。4017是5位计数器,具有10个译码输出端,CP,CR,INH输入端,时钟输入端的施密特触发器具有脉冲整形功能,对输入时钟脉冲上升和下降时间无限制,INH为低电平时,计数器清零。100Hz的方波作为4017的CP端,如图3-3,信号通过4017后,从Q1输出的信号高电平的脉宽刚好为100Hz信号的一个周期,相当于将原信号二分频。也就是Q1的输出信号高电平持续的时间为10ms,那么这个信号可以用来导通闸门和关闭闸门。

图3-3 闸门电路

图3-4

3.3控制电路设计

通过分析我们知道控制电路这部分是本实验的最为关键和难搞的模块。其中控制模块里面又有几个小的模块,通过控制选择所要测量的东西。比如频率,周期,脉宽。同时控制电路还要产生74160的清零信号,4511的锁存信号。

控制电路。计数电路和译码显示电路详细的电路如图3-5所示。当74153的CBA 接001、010、011的时候电路实现的是测量被测信号频率的功能。当74153的CBA接100的时候实现的是测量被测信号周期的功能。当74153的CBA接101的时候实现的是测量被测信号脉宽的功能。图3-6是测试被测信号频率时的计数器CP信号波形、PT端输入波形、CLR段清零信号波形、4511锁存端波形图。其中第一个波形是被测信号的波形图、第二个是PT端输入信号的波形图、第三个是计数器的清零信号。第四个是锁存信号。PT是高电平的时候计数器开始工作。CLR为低电平的时候,计数器清零。根据图得知在计数之前对计数器进行了清零。

根据4511(4511的管脚图和功能表详见附录)的功能表可以知道,当锁存信号为高电平的时候,4511不送数。如果不让4511锁存的话,那么计数器输出的信号一直往数码管里送。由于在计数,那么数码管上面一直显示数字,由于频率大,那么会发现数字一直在闪动。那么通过锁存信号可以实现计数的时候让数码管不显示,计完数后,让数码管显示计数器计到的数字的功能。根据图可以看到,当PT到达下降沿的时候,此时4511的LE端的输入信号也刚好到达下降沿。

图3-6 计数器CP信号波形、PT端输入波形、CLR段清零信号波形、4511锁

存端波形图

图3-6,是测量被测信号频率是1.1KHz的频率的图。由于multsisim软件篇幅的关系。时基电路产生的信号直接用信号发生器来代替。图中电路1K的信号经过分频后选择的是100Hz的信号为基准信号。那么这个电路实现测量频率的范围是0.01KHz~9.99KHz的信号的频率。同时控制电路也实现了对被测信号的周期和脉宽的测量。当CBA的取一定的值,电路实现一定的测量功能。

3.4 小数点显示电路设计

在测量频率的时候,由于分3个档位,那么在不同的档的时候,小数点也要跟着显示。比如CBA接011测量频率的时候,它所测信号频率的范围是0.1KHz~99.9KHz,那么在显示的时候三个数码管的第二个数码管的小数点要显示。CBA接010测量频率的时候,它所测信号频率的范围是0.01KHz~9.99KHz,那么显示的时候,最高位的数码管的小数点也要显示。对比一下两个输入的高低电平可以发现CA位不一样,显示的小数点就不一样。我们可以想到可以通过74153数据选择器来实现小数点显示的问题。具体的实现方法见图3-7所示。

3.5整体电路图

图3-8 整体电路图

3.6整机原件清单

第四章测试与调整

4.1 时基电路的调测

首先调测时基信号,通过555定时器、RC阻容件构成多谐振荡器的两个暂态时间公式,选择R1=8.2K?,R2=5.1K?,C=0.01μF。把555产生的信号接到示波器中,调节电位器使得输出的信号的频率为1KHz。同时输出信号的频率也要稳定。测完后,下面测试分频后的频率,分别接一级分频、二级分频、三级分频的输出端,测试其信号。测出来的信号频率和理论值很接近。由于是将示波器的测量端分别测量每个原件的输出端。下面我在实验中把74151和拨盘开关接好,通过拨盘开关来控制74151的输出信号,把示波器的测量端接74151的输出端。在CBA取三个不同的高低电平时,得到三个不同频率的信号。具体的波形图见图3-2所示。这里就不再重复了。这样,时基电路这部分就测试完毕,没有问题

了。

4.2 显示电路的调测

由于在设计过程中,控制电路这部分比较难,要花时间在上面设计电路。为了节约时间,我在课程设计的过程中就先连接后面的显示电路和计数电路。首先是对数码管(数码管的管脚图和功能表详见附录)的显示进行了调测。

图4-1 显示电路调测连接图

如图4-1所示接好显示电路(这里就只给出一个数码管说明一下)。然后将4511的5端接地。然后给4511的6、2、1、7端分别接高低电平,数码管就会显示对应的数字。比如6217分别接1000,那么数码管就对应显示数字8.同样,还有两个数码管也按上图接好。接好后的测试方法同上。这样,显示电路也就搞好了。

单片机课程设计报告——智能数字频率计汇总

单片机原理课程设计报告题目:智能数字频率计设计 专业:信息工程 班级:信息111 学号:*** 姓名:*** 指导教师:*** 北京工商大学计算机与信息工程学院

1、设计目的 (1)了解和掌握一个完整的电子线路设计方法和概念; (2)通过电子线路设计、仿真、安装和调试,了解和掌握电子系统研发产品的一个基本流程。 (3)了解和掌握一些常见的单元电路设计方法和在电子系统中的应用: 包括放大器、滤波器、比较器、计数和显示电路等。 (4)通过编写设计文档与报告,进一步提高学生撰写科技文档的能力。 2、设计要求 (1)基本要求 设计指标: 1.频率测量:0~250KHz; 2.周期测量:4mS~10S; 3.闸门时间:0.1S,1S; 4.测量分辨率:5位/0.1S,6位/1S; 5.用图形液晶显示状态、单位等。 充分利用单片机软、硬件资源,在其控制和管理下,完成数据的采集、处理和显示等工作,实现频率、周期的等精度测量方案。在方案设计中,要充分估计各种误差的影响,以获得较高的测量精度。 (2)扩展要求 用语音装置来实现频率、周期报数。 (3)误差测试 调试无误后,可用数字示波器与其进行比对,记录测量结果,进行误差分析。 (4)实际完成的要求及效果 1.测量范围:0.1Hz~4MHz,周期、频率测量可调; 2.闸门时间:0.05s~10s可调; 3.测量分辨率:5位/0.01S,6位/0.1S; 4.用图形液晶显示状态、单位(Hz/KHz/MHz)等。 3、硬件电路设计 (1)总体设计思路

本次设计的智能数字频率计可测量矩形波、锯齿波、三角波、方波等信号的频率。系统共设计包括五大模块: 主芯片控制模块、整形模块、分频模块、档位选择模块、和显示模块。设计的总的思想是以AT89S52单片机为核心,将被测信号送到以LM324N为核心的过零比较器,被测信号转化为方波信号,然后方波经过由74LS161构成的分频模块进行分频,再由74LS153构成的四选一选择电路控制档位,各部分的控制信号以及频率的测量主要由单片机计数及控制,最终将测得的信号频率经LCD1602显示。 各模块作用如下: 1.主芯片控制模块: 单片机AT89S52 内部具有2个16位定时/计数器T0、T1,定时/计数器的工作可以由编程来实现定时、计数和产生计数溢出时中断要求的功能。利用单片机的计数器和定时器的功能对被测信号进行计数。以AT89S52 单片机为控制核心,来完成对各种被测信号的精确计数、显示以及对分频比的控制。利用其内部的定时/计数器完成待测信号周期/频率的测量。 2.整形模块:整形电路是将一些不是方波的待测信号转化成方波信号,便于测量。本设计使用运放器LM324连接成过零比较器作为整形电路。 3.分频模块: 考虑单片机利用晶振计数,使用11.0592MHz 时钟时,最大计数速率将近500 kHz,因此需要外部分频。分频电路用于扩展单片机频率测量范围,并实现单片机频率测量使用统一信号,可使单片机测频更易于实现,而且也降低了系统的测频误差。本设计使用的分频芯片是74LS161实现4分频及16分频。 4.档位选择模块:控制74LS161不分频、4分频或者 16分频,控制芯片是74LS153。 5.显示模块:编写相应的程序可以使单片机自动调节测量的量程,并把测出的频率数据送到显示电路显示,本设计选用LCD1602。 (2)测频基本设计原理 所谓“频率”,就是周期性信号在单位时间(1s)内变化 的次数。若在一定时间间隔T内测得这个周期性信号的重复变 化次数N,则其频率可表示为f=N/T(右图3-1所示)。其中脉 冲形成电路的作用是将被测信号变成脉冲信号,其重复频率等 。利用单片机的定时/计数T0、T1的定时、计数 于被测频率f x 功能产生周期为1s的时间脉冲信号,则门控电路的输出信号持图3-1

简易数字频率计设计

简易数字频率计设计报告 设计内容: 1、测量信号:方波、正弦波、三角波; 2、测量频率范围: 1Hz~9999Hz; 3、显示方式:4位十进制数显示; 4、时基电路由由555构成的多谐振荡器产生(当标准时间的精度要求较高时,应通过晶体振荡器分频获得); 5、当被测信号的频率超出测量范围时,报警。 设计报告书写格式: 1、选题介绍和设计系统实现的功能; 2、系统设计结构框图及原理; 3、采用芯片简介; 4、设计的完整电路以及仿真结果; 5、Protel绘制的电路原理图; 6、制作的PCB; 7、课程设计过程心得体会(负责了哪些内容、学到了什么、遇到的难题及解决方法等)。 电子课程设计过程: 系统设计→在Multisim2001下仿真→应用Protel 99SE绘制电路原理图→制作PCB →撰写设计报告

简易数字频率计课程设计报告 第一章技术指标 1.1整体功能要求 1.2系统结构要求 1.3电气指标 1.4扩展指标 1.5设计条件 第二章整体方案设计 2.1 算法设计 2.2 整体方框图及原理 第三章单元电路设计 3.1 时基电路设计 3.2闸门电路设计 3.3控制电路设计 3.4 小数点显示电路设计 3.5整体电路图 3.6整机原件清单 第四章测试与调整 4.1 时基电路的调测 4.2 显示电路的调测 4-3 计数电路的调测 4.4 控制电路的调测 4.5 整体指标测试 第五章设计小结 5.1 设计任务完成情况 5.2 问题及改进

5.3心得体会附录 参考文献

第一章技术指标 1.整体功能要求 频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。 2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 数字频率计整体方案结构方框图 3.电气指标 3.1被测信号波形:正弦波、三角波和矩形波。 3.2 测量频率范围:分三档: 1Hz~999Hz 0.01kHz~9.99kHz 0.1kHz~99.9kHz 3.3 测量周期范围:1ms~1s。 3.4 测量脉宽范围:1ms~1s。 3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误 差)。 3.6当被测信号的频率超出测量范围时,报警. 4.扩展指标 要求测量频率值时,1Hz~99.9kHz的精度均为+1。

数字逻辑数字频率计的设计课程设计报告

滁州学院 课程设计报告 课程名称:数字逻辑课程设计 设计题目:数字频率计的设计 系别:网络与通信工程系 专业:网络工程(无线传感器网络方向)组别:第七组 起止日期:2012年5月28日~2012年6 月18日指导教师:姚光顺 计算机与信息工程学院二○一二年制

课程设计任务书

目录 1绪论 (1) 1.1设计背景 (1) 1.2主要工作和方法 (1) 1.3本文结构 (1) 2相关知识 (1) 2.1数字频率计概念...................................................................................................................... .. (1) 2.2数字频率计组成 (1) 3系统设计 (2) 4系统实现 (2) 4.1计数译码显示电路 (2) 4.2控制电路 (3) 5系统测试与数据分析 (5) 6课程设计总结与体会 (8) 6.1设计总结 (8) 6.2设计体会 (8) 结束语 (9) 参考文献 (9) 附录 (10) 致谢 (12)

1绪论 1.1设计背景 数字频率计是一种基础测量仪器,到目前为止已有 30 多年的发展史。早期,设计师们追求的目标主要是扩展测量范围,再加上提高测量精度、稳定度等,这些也是人们衡量数字频率计的技术水平,决定数字频率计价格高低的主要依据。目前这些基本技术日臻完善,成熟。应用现代技术可以轻松地将数字频率计的测频上限扩展到微频段。 随着科学技术的发展,用户对数字频率计也提出了新的要求。对于低档产品要求使用操作方便,量程(足够)宽,可靠性高,价格低。而对于中高档产品,则要求有高分辨率,高精度,高稳定度,高测量速率;除通常通用频率计所具有的功能外,还要有数据处理功能,统计分析功能,时域分析功能等等,或者包含电压测量等其他功能。这些要求有的已经实现或者部分实现,但要真正完美的实现这些目标,对于生产厂家来说,还有许多工作要做,而不是表面看来似乎发展到头了。 随着数字集成电路技术的飞速发展,应用计数法原理制成的数字式频率测量仪器具有精度高、测量范围宽、便于实现测量过程自动化等一系列的突出特点。 1.2主要工作和方法 设计一个数字频率计。要求频率测量范围为1Hz-10kHz。数字显示位数为四位静态十进制计数显示被测信号。先确定好数字频率计的组成部分,然后分部分设计,最后组成电路。 1.3本文结构 本文第1部分前言主要说明频率计的用处和广泛性。第2部分简要说明了本次课程设计的要求。第3部分概要设计大致的勾画出本次设计的原理框架图和电路的工作流程图。第4部分简要说明4位二进制计数器74160的原理和搭建计数译码显示电路的原理,同时分析控制电路的功能,形成控制电路图,及搭建显示电路和控制电路的组合原理图。第5部分调试与操作说明,介绍相关的操作和输入不同频率是电路的显示情况。 2相关知识 2.1数字频率计介绍 2.1.1数字频率计概念 数字频率计是一种直接用十进制数字现设被测信号频率的一种测量装置,它不仅可以测量正弦波、方波、三角波等信号的频率,而且还可以用它来测量被测信号的周期。经过改装,在电路中增加传感器,还可以做成数字脉搏计、电子称、计价器等。因此,数字频率计在测量物理量方面有广泛的应用。 2.1.2数字频率计组成 数字频率计由振荡器、分频器、放大整形电路、控制电路、计数译码显示电路等部分组成。其中的控制脉冲采用时钟信号源替代,待测信号用函数信号发生器产生。数字频结构原理框图如图3.1

基于单片机的简单频率计课程设计报告

《单片机原理与接口技术》课程设计报 告 频率计

1功能分析与设计目标 0 2频率计的硬件电路设计 (3) 2.1 控制、计数电路 (3) 2.2 译码显示电路 (5) 3频率计的软件设计与调试 (6) 3.1软件设计介绍 (6) 3.2程序框图 (8) 3.3功能实现具体过程 (8) 3.4测试数据处理,图表及现象描述 (10) 4讨论 (11) 5心得与建议 (12) 6附录(程序及注释) (13)

1 功能分析与设计目标 背景:在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。为了实现智能化的计数测频,实现一个宽领域、高精度的频率计,一种有效的方法是将单片机用于频率计的设计当中。用单片机来做控制电路的数字频率计测量频率精度高,测量频率的范围得到很大的提高。 题目要求: 用两种方法检测(△m ,△ T )要求显示单位时间的脉冲数或一个脉冲的周期。 设计分析: 电子计数式的测频方法主要有以下几种:脉冲数定时测频法(M 法),脉冲周期测频法(T 法),脉冲数倍频测频法(AM 法),脉冲数分频测频法(AT 法),脉冲平均周期测频法(M/T 法),多周期同步测频法。下面是几种方案的具体方法介绍。 脉冲数定时测频法(M 法):此法是记录在确定时间Tc 内待测信号的脉冲个数Mx ,则待测频率为: Fx=Mx/ Tc 脉冲周期测频法(T 法):此法是在待测信号的一个周期Tx 内,记录标准频率信号变化次数Mo。这种方法测出的频率是: Fx=Mo/Tx 脉冲数倍频测频法(AM 法):此法是为克服M 法在低频测量时精度不高的缺陷发展起来的。通过A 倍频,把待测信号频率放大A 倍,以提高测量精度。其待测频率为: Fx=Mx/ATo 脉冲数分频测频法(AT 法):此法是为了提高T 法高频测量时的精度形成的。由于T 法测量时要求待测信号的周期不能太短,所以可通过A 分频使待测信号 的周期扩大A倍,所测频率为: Fx=AMo/Tx 脉冲平均周期测频法(M/T法):此法是在闸门时间Tc内,同时用两个计数器分别记录

数电课程设计报告-数字频率计

数电课程设计报告:频率计 目录 一、设计指标 二、系统概述 1.设计思想 2.可行性论证 3.工作过程 三、单元电路设计及分析 1.器件选择 2.设计及工作原理分析 四、电路的组构及调试 1.遇到的问题 2.现象记录及原因分析 3.解决及结果 4.功能的测试方法、步骤、设备、记录的数据 五、总结 1.体会 2.电路总图 六、参考文献 一、设计指标 设计指标:要求设计一个测量TTL方波信号频率的数字系统。测试值采用4个LED七段数码管显示,并以发光二极管只是测量对象(频率)的单位:Hz、kHz。

频率的测量范围有四档量程。 1)测量结果显示四位有效数字,测量精度为万分之一。 2)频率测量范围:100.1Hz——999.9kHz,分为: 第一档: 100.0Hz——999.9Hz 第二档: 1.000kHz——9.999kHz 第三档: 10.00kHz——99.99kHz 第四档: 100.0kHz——999.9kHz 3)量程切换可以采用两个按键SWB、SWA手动切换。 扩展要求: 一、当被测频率大于999.9kHz,超出最大值时,设置亮一个警灯,并同时发出报警声音。 二、自动切换量程 提示: 1.计数器计到9999时,产生溢出信号CO,启动量程加档。 2.显示不足4位有效数字时量程减档。 三、各量程输出信号的频率最高位有效数字为1、2、3、4、5、6、7、8、9。 二、系统概述 1.设计思想 周期性信号频率可通过记录信号在1s内的周期数来确定其频率。

累计标准时间Ts中被测信号的脉冲个数Nx,被测信号频率:fx≈Nx/Ts 测量时间Ts选择:由于测量时间Ts需要根据被测信号的频率切换,所以通常对振荡时钟进行分频以获得不同的定时时间。 采样定时、显示锁存、计数器清零的控制时序波形图 2.可行性论证 用计数器实现记录周期数的功能;用时基信号产生计数时间作为采样时间;用四位动态扫描通过数码管显示结果;因为如果计数器直接把数据输入到数码管显示,那么数码管的数据就会不断变化,累计增加的情况,所以采用锁存器,在每个时间信号内,通过一个高电平使能有效,将计数器的数值锁存到寄存器或者锁存器;为了不要让每次锁存的数据会比上次

课程设计报告(频率计)

设计题目:数字频率计的设计与制作 一、课程设计的主要内容与目的 1. 主要内容:数字频率计的主要功能是测量周期信号的频率,频率是单位时间内信号 发生周期变化的次数,如果我们能在给定的1S时间内对信号波形计数,并将计数结果显示出来,就能读取被测信号的频率。数字频率计首先必须获得相对稳定与准确的时间,同时将被测信号转换成幅度与波形均能被数字电路识别的脉冲信号,然后通过计数器计算这一段时间间隔内的脉冲个数,将其换算后显示出来,这就是数字频率计的基本原理。 从数字频率计的基本原理出发,根据设计要求,得到如图1所示的电路框图。 图1 2. 设计目的:(1)掌握数字频率计的工作原理 (2)根据课程设计,熟悉一般产品设计的流程和方法。 (3)重点掌握数字频率计设计的计数部分。 二、主要技术指标 1.频率测量范围:10~9999HZ。 2.输入信号波形:任意周期信号,输入电压幅度>300mv. 3.电源:220V,50HZ。 系统框图中各部分的功能及实现方法 (1)电源与整流稳压电路 框图中的电源采用50Hz的交流市电。市电被降压、整流、稳压后为整个系统提供直流电源。系统对电源的要求不高,可以采用串联式稳压电源电路来实现。 (2)全波整流与波形整形电路 本频率计采用市电频率作为标准频率,以获得稳定的基准时间。按国家标准,市电的频率漂移不能超过0.5Hz,即在1%的范围内。用它作普通频率计的基准信号完全能满足系统的要求。全波整流电路首先对50Hz交流市电进行全波整流,得到如图2(a)所示100Hz的全波整流波形。波形整形电路对100Hz信号进行整形,使之成为如图2(b)所示100Hz的矩形波。波形整形可以采用过零触发电路将全波整流波形变为矩形波,也可采用施密特触发器进行整形。

简易数字频率计课程设计报告书

一、课题名称与技术要求 <1>名称:简易数字频率计 <2>主要技术指标和要求: 1. 被测信号的频率围100HZ~100KH 2. 输入信号为正弦信号或方波信号 3. 四位数码管显示所测频率,并用发光二极管表示单位 4. 具有超量程报警功能 二、摘要 以门电路,触发器和计数器为核心,由信号输入、放大整形、闸门电路、计数、数据处理和数据显示等功能模块组成。放大整型电路:对被测信号进行预处理;闸门电路:由与门电路通过控制开门关门,攫取单位时间进入计数器的脉冲个数;时基信号:周期性产生一秒高电平信号;计数器译码电路:计数译码集成在一块芯片上,计单位时间脉冲个数,把十进制计数器计数结果译成BCD码;显示:把BCD码译码在数码管显示出来。 关键字:比较器,闸门电路,计数器,锁存器,逻辑控制电路 三、方案论证与选择 <1>频率测量原理与方法 对周期信号的测量方法,常用的有下述几种方法。 1、测频法(M法) 对频率为f的周期信号,测频法的实现方法,是用以标准闸门信号对被测信号的重复周期数进行计数,当计数结果为N时,其频率为:f1=N1/TG。TG为标准闸门宽度,N1是计数器计出的脉冲个数,

设在TG期间,计数器的精确计数值为N,根据计数器的技术特性可知,N1的绝对误差是△N1=N ±1,N1的相对误差为&N1=(N1-N)/N=(N±1-N)/N=±1/N,由N1的相对误差可知,N(或N1)的数值愈大,相对误差愈小,成反比关系。因此,在f已确定的条件下,为减小N1的相对误差,可通过增大TG的方法来降低测量误差。但是,增大TG会使频率测量的响应时间长。当TG为确定值时(通常取TG=1s),则有f=N,固有f1的相对误差:&f1=(f1-f)/f=(f±1-f)/f=±1/f 由上式可知,f1的相对误差与f成反比关系,即信号频率越高,误差越小;而信号频率越低,则测量误差越大。因此,M法适合于对高频信号的测量,频率越高,测量精度也越高。 测频法原理图 2、测周法(T法) 首先把被测信号通过二分频,获得一个高电频时间和低电平时间都是一个信号周期T的方波信号;然后用一个已知周期的高频方波信号作为计数脉冲,在一个信号周期T的时间对此高频信号进行计数。若在T时间的计数值为N2,则有 T2=N2*Tosc f2=1/T=1/(N2* Tosc)= fosc/N2 N2的绝对误差为△N=±1 N2的相对误差为&N2=(N2-N)/N=(N±1-N)/N=±1/N 从T2的相对误差可以看出,周期测量的误差与信号频率成正比,而与高频你标准计数信号的频率成反比。当fosc为常数时,被测信号频率越低,误差越小,测量精度也就越高。

数字频率计_课程设计报告

电气与信息工程学院 数字频率计 设计报告书 前言 摘要:在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的 测量就显得更为重要。测量频率的方法有多种,其中数字计 数器测量频率具有精度高、使用方便、测量迅速,以及便于 实现测量过程自动化等优点,是频率测量的重要手段之一。 其原理为通过测量一定闸门时间内信号的脉冲个数。本文阐 述了设计了一个简单的数字频率计的过程。 关键词:频率计,闸门,逻辑控制,计数-锁存

目录 第一章设计目的 第二章设计任务和设计要求 2.1 设计任务及基本要求 2.2.系统结构要求 第三章系统概述 3.1概述 3.2设计原理及方案 第四章单元电路设计及分析 4.1 时基电路 4.2逻辑控制电路 4.3计数电路 4.4锁存电路 4.5显示译码电路 4.6 闸门电路 第五章安装与调试过程 5.1 电路的安装过程 5.2 电路的调试过程 5.3 出现的问题及解决办法 第六章结果分析 第七章收获与体会

第八章元件清单 第九章实现结果实物图 附录A 参考文献 第一章 设计目的: 1.了解数字频率计测量频率与测量周期的基本原理; 2.熟练掌握数字频率计的设计与调试方法及减小测量误 差的方法。 3.本设计与制作项目可以进一步加深我们对数字电路应 用技术方面的了解与认识,进一步熟悉数字电路系统设计、制作与调试的方法和步骤。 4.针对电子线路课程要求,对我们进行实用型电子线路设 计、安装、调试等各环节的综合性训练,培养我们运用课程中所学的理论与实践紧密结合,独立地解决实际问题的能力。

第二章 设计任务及要求: 2.1设计任务及基本要求: 设计一简易数字频率计,其基本要求是: 1)测量频率范围0~9999Hz; 2)最大读数9999HZ,闸门信号的采样时间为1s;. 3)被测信号可以是正弦波、三角波和方波; 4)显示方式为4位十进制数显示; 5)完成全部设计后,可使用EWB进行仿真,检测试验设计电路的正确性。 2.2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量。 波形 整 形 计 数 器 数 码 显 示 振荡 电 路分 频 器 控 制 门 数 据 锁 存 器 显 示 译 码 器 被测 信 号

简易频率计课程设计

目录 1 技术要求及系统结构 (1) 1.1技术要求 (1) 1.2系统结构 (1) 2设计方案及工作原理 (2) 2.1 算法设计 (2) 2.2 工作原理 (3) 3组成电路设计及其原理 (6) 3.1时基电路设计及其工作原理 (6) 3.2闸门电路设计 (7) 3.3控制电路设计 (8) 3.4小数点控制电路 (9) 3.5整体电路 (10) 3.6 元件清单 (10) 4设计总结 (11) 参考文献 (11) 附录1 (12) 附录2 (17)

摘要 简易数字频率计是一种用四位十进制数字显示被测信号频率(1Hz—100KHz)的数字测量仪器.它的基本功能是测量正弦波,方波,三角波信号,有四个档位(×1,×10,×100,×1000),并能使用数码管显示被测信号数据,本课程设计讲述了数字频率计的工作原理以及其各个组成部分,记述了在整个设计过程中对各个部分的设计思路、对各部分电路设计方案的选择、元器件的筛选、以及在设计过程中的分析,以确保设计出的频率计成功测量被测信号。 关键词:简易数字频率计十进制信号频率数码管工作原理 1技术要求及结构 本设计可以采用中、小规模集成芯片设计制作一个具有下列功能的数字频率测量仪。 1.1技术要求 ⑴要求测量频率范围1Hz-100KHz,量程分为4档,即×1、×10、×100、×1000。 ⑵要求被测量信号可以是正弦波、三角波和方波。 ⑶要求测试结果用数码管表示出来,显示方式为4位十进制。 1.2 系统结构 数字频率计的整体结构要求如图1-1所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 图1-1 数字频率计系统结构框图 2 设计方案及工作原理 2.1 算法设计

数字频率计课程设计报告

《数字频率计》技术报告 一、问题的提出 在传统的电子测量仪器中,示波器在进行频率测量时测量精度较低,误差较大。频谱仪可以准确的测量频率并显示被测信号的频谱,但测量速度较慢,无法实时快速地跟踪捕捉到被测信号频率的变化。而频率计则能够快速准确的捕捉到被测信号频率的变化。 在传统的生产制造企业中,频率计被广泛的应用在生产测试中。频率计能够快速的捕捉到晶体振荡器输出频率的变化,用户通过使用频率计能够迅速的发现有故障的晶振产品,确保产品质量。在计量实验室中,频率计被用来对各种电子测量设备的本地振荡器进行校准。在无线通讯测试中,频率计既可以被用来对无线通讯基站的主时钟进行校准,还可以被用来对无线电台的跳频信号和频率调制信号进行分析。 数字频率计是一种用数字显示的频率测量仪表,它不仅可以测量正弦信号、方波信号和尖脉冲信号的频率,而且还能对其他多种物理量的变化频率进行测量,诸如机械振动次数,物体转动速度,明暗变化的闪光次数,单位时间里经过传送带的产品数量等等,这些物理量的变化情况可以由有关传感器先转变成周期变化的信号,然后用数字频率计测量单位时间内变化次数,再用数码显示出来。 二、解决技术问题及指标要求 1、技术指标

被测信号:正弦波、方波或其他连续信号; 采样时间:1秒(0.1秒、10秒); 显示时间:1秒(2秒、3秒......); LED显示; 灵敏度:100mV; 测量误差:±1H z。 数字频率计是一种专门对被测信号频率进行测量的电子测量仪器。其最基本的工作原理为:当被测信号在特定时间段T内的周期个数为N时,则被测信号的频率f=N/T。一般T=1s,所以应要求定时器尽量输出为1s的稳定脉冲。 2、设计要求 可靠性:系统准确可靠。 稳定性:灵敏度不受环境影响。 经济性:成本低。 重复性:尽量减少电路的调试点。 低功耗:功率小,持续时间长。 三、方案可行性分析(方案结构框图) 1、原理框图

单片机简易频率计课程设计

前言 (3) 一、总体设计 (4) 二、硬件设计 (6) AT89C51单片机及其引脚说明: (6) 显示原理 (8) 技术参数 (10) 电参数表 (10) 时序特性表 (11) 模块引脚功能表 (12) 三、软件设计 (12) 四、调试说明 (15) 五、使用说明 (17) 结论 (17) 参考文献 (18)

附录 (19) Ⅰ、系统电路图 (19) Ⅱ、程序清单 (20)

前言 单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。导弹的导航装置,飞机上各种仪表的控制,计算机的网络通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能IC卡,民用豪华轿车的安全保障系统,录像机、摄像机、全自动洗衣机的控制,以及程控玩具、电子宠物等等,这些都离不开单片机。更不用说自动控制领域的机器人、智能仪表、医疗器械以及各种智能机械了。因此,单片机的学习、开发与应用在生活中至关重要。 随着电子信息产业的不断发展,信号频率的测量在科技研究和实际应用中的作用日益重要。传统的频率计通常是用很多的逻辑电路和时序电路来实现的,这种电路一般运行缓慢,而且测量频率的范围比较小.考虑到上述问题,本论文设计一个基于单片机技术的数字频率计。首先,我们把待测信号经过放大整形;然后把信号送入单片机的定时计数器里进行计数,获得频率值;最后把测得的频率数值送入显示电路里进行显示。本文从频率计的原理出发,介绍了基于单片机的数字频率计的设计方案,选择了实现系统得各种电路元器件,并对硬件电路进行了仿真。

一、总体设计 用十进制数字显示被测信号频率的一种测量装置。它以测量周期的方法对正弦波、方波、三角波的频率进行自动的测量. 所谓“频率”,就是周期性信号在单位时间(1s)内变化的次数。若在一定时间间隔T内测得这个周期性信号的重复变化次数N,则其频率可表示为f=N/T。其中脉冲形成电路的作用是将被测信号变成脉冲信号,其重复频率等于被测频率f x。时间基准信号发生器提供标准的时间脉冲信号,若其周期为1s,则门控电路的输出信号持续时间亦准确地等于1s.闸门电路由标准秒信号进行控制,当秒信号来到时,闸门开通,被测脉冲信号通过闸门送到计数译码显示电路。秒信号结束时闸门关闭,计数器停止计数。由于计数器计得的脉冲数N是在1秒时间内的累计数,所以被测频率fx=NHz。 本系统采用测量频率法,可将频率脉冲直接连接到AT89C51的T0端,将T/C1用做定时器。T/C0用做计数器。在T/C1定时的时间里,对频率脉冲进行计数。在1S定时内所计脉冲数即是该脉冲的频率。见图1: 图1测量时序图 由于T0并不与T1同步,并且有可能造成脉冲丢失,所以对计数器T0做一定的延时,以矫正误差。具体延时时间根据具体实验确定。 根据频率的定义,频率是单位时间内信号波的个数,因此采用上述各种方案

数字频率计设计报告

数字电子技术课程设计 数字频率计的设计 姓名:杜昌波 学院:工学院 专业:电气工程及其自动化 学号:12100 505 指导教师:刘权吴敏 2014年06月04日

目录 目录 (2) 1 设计任务与要求 (3) 1.1 基本功能 (3) 1.2 扩展功能 (3) 2 设计原理 (3) 3 电路设计 (4) 3.1 整形电路 (4) 3.2脉冲波形产生及分频电路 (6) 3.3 闸门电路 (8) 3.4 计数电路 (9) 3.5 锁存显示电路 (11) 3.6 超量程报警显示电路 (12) 3.7 单稳态触发器电路 (13) 3.8 整机电路 (14) 3.9 说明 (15) 3.10 仿真结果 (15) 4 元器件清单 (19) 5 设计体会 (21) 参考资料 (22)

数字频率计的设计(第十组) 1 设计任务与要求 1.1 基本功能 1)能够测量正弦信号,矩形信号等波形的频率; 2)测量信号的频率范围为1HZ~100KHZ,分辨率为1HZ; 3)测量结果直接用十进制数值计数,通过五个数码管显示; 4)具有自较和测量两种功能; 5)测量误差小于5%; 6)多谐振荡器采用12M晶振电路,闸门用与门实现,显示用共阳极数码管。 1.2 扩展功能 1)分成四个频段,即1~99Hz,100~1KHz,1~10KHz,10~100KHz; 2)有超量程警告功能,当测量信号频率超过所选档位的量程时,频率计发出铃声警报。 2 设计原理 脉冲信号的频率就是在单位时间(1s)里产生的脉冲个数,若在一定时间间隔tw内测得这个周期信号的重复变化次数为N,则其频率可表示为: f=N/T 数字频率计的总体框图如图1所示: 图1

简易数字频率计课程设计

简易数字频率计课程设计 Prepared on 22 November 2020

简易频率计设计 摘要 在数字电路中,数字频率计属于时序电路,它主要由具有记忆功能的触发器构成。在计算机及各种数字仪表中,都得到了广泛的应用。在CMOS电路系列产品中,数字频率计是用量最大、品种很多的产品,是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,并且与许多电参量的测量方案、测量结果都有十分密切的关系,在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。常用的频率测量方法有测频法、测周法、测周期/频率法、F/V与A/D法。本文阐述了用测频法构成的数字频率计 关键字:时序控制频率,数字频率计,555电路 目录

1绪论 课题描述 频率是周期信号每秒钟内所含的周期数值。输入电路:由于输入的信号可以是正弦波,方波。而后面的闸门或计数电路要求被测信号为矩形波,所以需要设计一个整形电路则在测量的时候,首先通过整形电路将正弦波或者三角波转化成矩形波。在整形之前由于不清楚被测信号的强弱的情况。所以在通过整形之前通过放大衰减处理。当输入信号电压幅度较大时,通过输入衰减电路将电压幅度降低。当输入信号电压幅度较小时,前级输入衰减为零时若不能驱动后面的整形电路,则调节输入放大的增益,时被测信号得以放大。通过时基电路及控制电路锁存器将最终频率稳定的显示在数码管上[1]。 设计任务与要求 1.频率测量范围:10~9999Hz; 2.输入电压幅度>300mV; 3.输入信号波形:任意周期信号; 4.显示位数:4 位; 5.电源: 220V 、 50Hz; 6.对所设计电路进行仿真分析。 7.编写设计报告,写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 基本工作原理及框图 建议频率计电路框图如图1所示。

数字频率计设计报告

数字频率计设计报告 学院: 姓名: 学号: 专业: 指导老师: 2008-11-11

一.内容介绍 数字频率计是用来测量信号频率的装置。它可以测量正弦波、方波、三角波和尖脉冲信号的频率。在进行模拟、数字电路的设计、安装、调试过程中,经常要用到频率计。 由于其用十进制数显示,测量速度、精度高、显示直观,因此频率计得到广泛的应用。 二.设计内容、技术指标及框图 设计内容: 设计只用一只数码管显示结果的数字频率计。 技术指标: 1.被测量信号频率范围:1KHZ-999KHZ 2.测量精度:测量显示3位有效数字 3.时基时间宽度:1ms 4.测试和显示方法: (1)只用一只数码管显示结果。 (2)每2秒钟自动测试一次,按百、十、个、全灭的顺序逐位显示测试结果,每位的显示时间为0.5秒。 数字频率计的框图:如图1。 图1 频率计系统框图

三.单元电路设计 1. 时基产生电路 时基信号的产生电路可用石英晶体振荡器经分频后得到高稳定度的时基信号。图2采用CC4060十四级计数器构成0.5s脉冲(3)和毫秒脉冲1ms时基信号。12脚接地。 图2 秒脉冲和毫秒脉冲时基产生电路 2.节拍信号发生器 设计要求每2秒自动测试一次,按百、十、个、灭的顺序逐位显示测试结果。由此可知,节拍信号发生器需产生四种状态的变化,变化周期为2秒。四种状态信号可以提供给数据选择器的地址端,用来逐位显示百、十、个、灭,2秒的周期信号用来控制计数器计数,保持和清零。如图3。 节拍信号发生器

图3 节拍信号发生器及波形 3.整形电路 将输入的被测信号送入施密特触发器74LS132的输入端,其输入将得到矩形波至闸门输入如图4。 图4 整形电路 4.控制电路(门控电路) 要求控制器每2秒向主闸门输入一个时间为2秒,采样脉宽为1ms的周期信号,如图5。 采用2个D触发器,以时基信号T=1ms作为同步时钟脉冲。

数字频率计课程设计

课程设计任务书 学生姓名:覃朝光专业班级:通信1103 指导教师:工作单位:信息工程学院 题目: 数字频率计的设计与实现 初始条件: 本设计既可以使用集成脉冲发生器、计数器、译码器、单稳态触发器、锁存器、放大器、整形电路和必要的门电路等,也可以使用单片机系统构建简易频率计。用数码管显示频率计数值。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个频率计。要求用4位7段数码管显示待测频率,格式为0000Hz。 2)测量频率范围:10~9999Hz。 3)测量信号类型:正弦波、方波和三角波。 4)测量信号幅值:0.5~5V。 5)设计的脉冲信号发生器,以此产生闸门信号,闸门信号宽度为1s。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2013年5 月17日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年 6 月18 日至2013 年6 月22 日,方案选择和电路设计。 3、2013 年6 月22 日至2013 年7 月1 日,电路调试和设计说明书撰写。 4、2013年7月5日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) 1电路的设计思路与原理 (4) 1.1电路设计方案的选择 (4) 1.1.1方案一:利用单片机制作频率计 (4) 1.1.2方案二:利用锁存器与计数器制作频率计 (5) 1.1.3方案三:利用定时电路与计数器制作频率计 (5) 1.1.4方案确定 (6) 1.2 原理及技术指标 (6) 1.3 单元电路设计及参数计算 (8) 1.3.1时基电路 (8) 1.3.2放大整形电路 (9) 1.3.3逻辑控制电路 (9) 1.3.4计数器 (11) 1.3.5锁存器 (12) 1.3.6译码电路 (13) 2仿真结果及分析 (13) 2.1仿真总图 (13) 2.2单个元电路仿真图 (14) 2.3测试结果 (17) 3测试的数据和理论计算的比较分析 (17) 4制作与调试中出现的故障、原因及排除方法 (17) 4.1故障a (17) 4.2故障b (18) 4.3故障c (18) 4.4故障d (18) 4.5故障e (18) 5 心得体会 (19)

数字频率计设计报告

电子线路课程设计报告 姓名:方正 学号:110405221 专业:电气工程及其自动化 日期:2012-10-13

目录 1 概述. (3) 2 方案论证????????? 2.1 方案一 (4) 2.2 方案二 (4) 3.3 方案选择. (5) 3 数字频率计设计原理 (5) 4、单元电路分析. (6) 1、放大整形电路【2】 (6) 2、时基电路【1】 (7) 3、逻辑控制【1】 (7) 4、锁存器 (8) 六、调试电路板中出现的问题及解决办法. (10) 七、课程设计体会. (11) 八、集成芯片功能介绍. (12) 1、74LS573 (12) 2、74LS48 (12) 3、74LS90 (13) 4、555构成的单稳触发器和多谐振荡器. (14) 参考文献. (14) 附一:电路总图. ..................................... 错误!未定义书签。 附二:电路PCB图. ................................ 错误!未定义书签。 附三:PCB-3D图................................. 错误!未定义书签。 附四:元件清单. ..................................... 错误!未定义书签。

一、概述 数字频率计是一种用十进制数字显示被测信号频率的数字测量仪器,它的基本功能是测量正弦信号、方波信号、尖脉冲信号以及其他各种单位时间内变化的物理量,因此它的用途十分广泛:数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。 数字频率计的设计原理实际上是测量单位时间内的周期数。这种方法免去了实测以前的预测,同时节省了划分频段的时间,克服了原来高频段采用测频模式而低频段采用测周期模式的测量方法存在换挡速度慢的缺点。通常情况下计算每秒内待测信号的脉冲个数,此时我们称闸门时间为1 秒。闸门时间也可以大于或小于一秒。闸门时间越长,得到的频率值就越准确,但闸门时间越长则每测一次频率的间隔就越长。闸门时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。 在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此,频率的测量就显得更为重要。测量频率的方法有多种, 其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。电子计数器测频有两种方式:一是直接测频法,即在一定闸门时间内测量被测信号的脉冲个数;二是间接测频法,如周期测频法。直接测频法适用于高频信号的频率测量,间接测频法适用于低频信号的频率测量。 集成电路的类型很多,从大的方面可以分为模拟电路和数字集成电路2 大类。数字集成电路广泛用于计算机、控制与测量系统,以及其它电子设备中。一般说来,数字系统中运行的电信号,其大小往往并不改变,但在实践分布上却有着严格的要求,这是数字电路的一个特点。数字集成电路作为电子技术最重要的基础产品之一,已广泛地深入到各个应用领域。

简易数字频率计课程设计报告

目录第一章技术指标 1.1整体功能要求 1.2系统结构要求 1.3电气指标 1.4扩展指标 1.5设计条件 第二章整体方案设计 2.1 算法设计 2.2 整体方框图及原理 第三章单元电路设计 3.1 时基电路设计 3.2闸门电路设计 3.3控制电路设计 3.4 小数点显示电路设计 3.5整体电路图 3.6整机原件清单 第四章测试与调整 4.1 时基电路的调测 4.2 显示电路的调测 4-3 计数电路的调测 4.4 控制电路的调测 4.5 整体指标测试

第五章设计小结5.1 设计任务完成情况5.2 问题及改进 5.3心得体会 附录 参考文献

第一章技术指标 1.整体功能要求 频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。 2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 数字频率计整体方案结构方框图 3.电气指标 3.1被测信号波形:正弦波、三角波和矩形波。 3.2 测量频率范围:分三档: 1Hz~999Hz 0.01kHz~9.99kHz 0.1kHz~99.9kHz 3.3 测量周期范围:1ms~1s。 3.4 测量脉宽范围:1ms~1s。 3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误 差)。 3.6当被测信号的频率超出测量范围时,报警.

4.扩展指标 要求测量频率值时,1Hz~99.9kHz的精度均为+1。 5.设计条件 5.1 电源条件:+5V。 5.2 可供选择的元器件范围如下表 门电路、阻容件、发光二极管和转换开关等原件自定。

单片机频率计课程设计

贵州大学课程设计 任务要求 运用所学单片机原理、、模拟和数字电路等方面的知识,设计出一个数字频率计。数字频率计要求如下: 1)能对0~50kHz的信号频率进行计数; 2)频率测量结果通过4位数码管显示(十进制)。 二、课程设计应完成的工作 1)硬件部分包括微处理器(MCU)最小系统(供电、晶振、复位)、频率测量和数码管显示部分; 2)软件部分包括初始化、频率计算、显示等; 3)用PROTEUS软件仿真实现; 4)画出系统的硬件电路结构图和软件程序框图; 内容摘要 1.数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。它是一种用十进制数字显示被测信号频率的数字测量仪器。 2.采用12 MHz的晶体振荡器的情况下,一秒的定时已超过了定时器可提供的最大定时值。为了实现一秒的定时,采用定时和计数相结合的方法实现。选用定时/计数器TO作定时器,工作于方式1产生50 ms的定时,再用软件计数方式对它计数20次,就可得到一秒的定时。

贵州大学课程设计 第1节引言 本应用系统设计的目的是通过在“单片机原理及应用”课堂上学习的知识,以及查阅资料,培养一种自学的能力。并且引导一种创新的思维,把学到的知识应用到日常生活当中。在设计的过程中,不断的学习,思考和同学间的相互讨论,运用科学的分析问题的方法解决遇到的困难,掌握单片机系统一般的开发流程,学会对常见问题的处理方法,积累设计系统的经验,充分发挥教学与实践的结合。全能提高个人系统开发的综合能力,开拓了思维,为今后能在相应工作岗位上的工作打下了坚实的基础。 1.1数字频率计概述 数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。它是一种用十进制数字显示被测信号频率的数字测量仪器。它的基本功能是测量正弦信号,方波信号及其他各种单位时间内变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。 1.2任务分析与设计思路 频率的测量实际上就是在1s时间内对信号进行计数,计数值就是信号频率。在本次设计使用的AT89C51单片机,本身自带有定时器和计数器,单片机的T0、T1两个定时/计数器,一个用来定时,另一个用来计数,定时/计数器的工作由相应的运行控制位 TR 控制 ,当 TR 置 1 ,定时/ 计数器开始计数 ;当 TR 清 0 ,停止计数。在定时1s里,计数器计的脉冲数就是频率数,但是由于1s超过了A T89C51的最大定时,因此我们采用50ms定时,在50ms 内的脉冲数在乘以14就得到了频率数,在转换为十进制输出就可。

相关文档